--- - branch: MAIN date: Thu Aug 19 20:56:37 UTC 2021 files: - new: '1.9' old: '1.8' path: src/lib/libm/arch/x86_64/fenv.c pathrev: src/lib/libm/arch/x86_64/fenv.c@1.9 type: modified - new: '1.12' old: '1.11' path: src/sys/arch/arm/sunxi/sunxi_tcon.c pathrev: src/sys/arch/arm/sunxi/sunxi_tcon.c@1.12 type: modified - new: '1.74' old: '1.73' path: src/sys/arch/evbppc/conf/EV64260 pathrev: src/sys/arch/evbppc/conf/EV64260@1.74 type: modified - new: '1.89' old: '1.88' path: src/sys/dev/pci/tga.c pathrev: src/sys/dev/pci/tga.c@1.89 type: modified - new: '1.12' old: '1.11' path: src/sys/ufs/lfs/ulfs_dirhash.h pathrev: src/sys/ufs/lfs/ulfs_dirhash.h@1.12 type: modified - new: '1.9' old: '1.8' path: src/sys/ufs/ufs/dirhash.h pathrev: src/sys/ufs/ufs/dirhash.h@1.9 type: modified - new: '1.60' old: '1.59' path: src/tests/fs/vfs/t_vnops.c pathrev: src/tests/fs/vfs/t_vnops.c@1.60 type: modified id: 20210819T205637Z.61fc8c5f4654103309dcb0649677ea893ac8efa4 log: | s/memry/memory+s/softare/software/+s/grapics/graphics+s/ouput/output module: src subject: 'CVS commit: src' unixtime: '1629406597' user: andvar