--- - branch: MAIN date: Thu Jun 8 20:49:04 UTC 2023 files: - new: '1.14' old: '1.13' path: src/tests/usr.bin/indent/lsym_lparen_or_lbracket.c pathrev: src/tests/usr.bin/indent/lsym_lparen_or_lbracket.c@1.14 type: modified - new: '1.9' old: '1.8' path: src/tests/usr.bin/indent/lsym_unary_op.c pathrev: src/tests/usr.bin/indent/lsym_unary_op.c@1.9 type: modified id: 20230608T204904Z.7af1f40ea5545807afddfdd98ac13783a848b8b9 log: | tests/indent: add more tests, from indent's own code module: src subject: 'CVS commit: src/tests/usr.bin/indent' unixtime: '1686257344' user: rillig