| @@ -1,1624 +1,1625 @@ | | | @@ -1,1624 +1,1625 @@ |
1 | $NetBSD: acronyms.comp,v 1.228 2019/01/28 12:17:28 sevan Exp $ | | 1 | $NetBSD: acronyms.comp,v 1.229 2019/02/01 21:23:22 sevan Exp $ |
2 | 3WHS three-way handshake | | 2 | 3WHS three-way handshake |
3 | 8VSB 8-state vestigial side band modulation | | 3 | 8VSB 8-state vestigial side band modulation |
4 | AA anti-aliasing | | 4 | AA anti-aliasing |
5 | AAA authentication, authorization, [and] accounting | | 5 | AAA authentication, authorization, [and] accounting |
6 | AAT average access time | | 6 | AAT average access time |
7 | ABI application binary interface | | 7 | ABI application binary interface |
8 | ABR available bit rate | | 8 | ABR available bit rate |
9 | ABRT automatic bug reporting tool | | 9 | ABRT automatic bug reporting tool |
10 | AC alternating current | | 10 | AC alternating current |
11 | ACI adjacent channel interferer | | 11 | ACI adjacent channel interferer |
12 | ACID atomicity, consistency, isolation, durability | | 12 | ACID atomicity, consistency, isolation, durability |
13 | ACK Amsterdam compiler kit | | 13 | ACK Amsterdam compiler kit |
14 | ACK acknowledgement | | 14 | ACK acknowledgement |
15 | ACL access control list | | 15 | ACL access control list |
16 | ACL active current loop | | 16 | ACL active current loop |
17 | ACL asynchronous connection less | | 17 | ACL asynchronous connection less |
18 | ACP auxiliary control {process,program} | | 18 | ACP auxiliary control {process,program} |
19 | ACPI advanced configuration and power interface | | 19 | ACPI advanced configuration and power interface |
20 | ACS access control set | | 20 | ACS access control set |
21 | ACU automatic calling unit | | 21 | ACU automatic calling unit |
22 | ADB Apple desktop bus | | 22 | ADB Apple desktop bus |
23 | ADC analog [to] digital converter | | 23 | ADC analog [to] digital converter |
24 | ADD acronym driven development | | 24 | ADD acronym driven development |
25 | ADO active data objects | | 25 | ADO active data objects |
26 | ADP automatic data processing | | 26 | ADP automatic data processing |
27 | ADPCM adaptive differential pulse code modulation | | 27 | ADPCM adaptive differential pulse code modulation |
28 | ADS alternate data stream | | 28 | ADS alternate data stream |
29 | ADSL asymmetric digital subscriber line | | 29 | ADSL asymmetric digital subscriber line |
30 | ADT abstract data type | | 30 | ADT abstract data type |
31 | AER advanced error reporting | | 31 | AER advanced error reporting |
32 | AES Advanced Encryption Standard | | 32 | AES Advanced Encryption Standard |
33 | AFP Apple Filing Protocol | | 33 | AFP Apple Filing Protocol |
34 | AFS Andrew File System | | 34 | AFS Andrew File System |
35 | AGC automatic gain control | | 35 | AGC automatic gain control |
36 | AGP accelerated graphics port | | 36 | AGP accelerated graphics port |
37 | AI analog input | | 37 | AI analog input |
38 | AI artificial intelligence | | 38 | AI artificial intelligence |
39 | AL access list | | 39 | AL access list |
40 | AL active link | | 40 | AL active link |
41 | ALE address latch enable | | 41 | ALE address latch enable |
42 | ALS ambient light sensor | | 42 | ALS ambient light sensor |
43 | ALU arithmetic and logical unit | | 43 | ALU arithmetic and logical unit |
44 | ALUA asymmetric logical unit access | | 44 | ALUA asymmetric logical unit access |
45 | AM access method | | 45 | AM access method |
46 | AM alignment mask | | 46 | AM alignment mask |
47 | AM amplitude modulation | | 47 | AM amplitude modulation |
48 | AMD Advanced Micro Devices Inc | | 48 | AMD Advanced Micro Devices Inc |
49 | AMDD Agile model-driven development | | 49 | AMDD Agile model-driven development |
50 | AMI alternate mark inversion | | 50 | AMI alternate mark inversion |
51 | AMP asymmetric multiprocessing | | 51 | AMP asymmetric multiprocessing |
52 | AMQP advanced message queuing protocol | | 52 | AMQP advanced message queuing protocol |
53 | AMT active management technology | | 53 | AMT active management technology |
54 | AN Arabic number | | 54 | AN Arabic number |
55 | ANR application not responding | | 55 | ANR application not responding |
56 | ANSI American National Standards Institute | | 56 | ANSI American National Standards Institute |
57 | AO analog output | | 57 | AO analog output |
58 | AOL Alert-on-LAN | | 58 | AOL Alert-on-LAN |
59 | AOS add or subtract | | 59 | AOS add or subtract |
60 | AP access point | | 60 | AP access point |
61 | AP application processor | | 61 | AP application processor |
62 | API application programming interface | | 62 | API application programming interface |
63 | APEI ACPI platform error interface | | 63 | APEI ACPI platform error interface |
64 | APIC advanced programmable interrupt controller | | 64 | APIC advanced programmable interrupt controller |
65 | APIPA automatic private IP addressing | | 65 | APIPA automatic private IP addressing |
66 | APM advanced power management | | 66 | APM advanced power management |
67 | APM application performance management | | 67 | APM application performance management |
68 | APU accelerated processing unit | | 68 | APU accelerated processing unit |
69 | APT advanced persistent threat | | 69 | APT advanced persistent threat |
70 | AQM active queue management | | 70 | AQM active queue management |
71 | ARAT always running APIC timer | | 71 | ARAT always running APIC timer |
72 | ARC adaptive replacement cache | | 72 | ARC adaptive replacement cache |
73 | ARC Advanced RISC Computing | | 73 | ARC Advanced RISC Computing |
74 | ARC automatic reference counting | | 74 | ARC automatic reference counting |
75 | ARM Advanced RISC Machines | | 75 | ARM Advanced RISC Machines |
76 | ARP Address Resolution Protocol | | 76 | ARP Address Resolution Protocol |
77 | ARPA Advanced Research Projects Agency | | 77 | ARPA Advanced Research Projects Agency |
78 | ARQ automatic repeat request | | 78 | ARQ automatic repeat request |
79 | ARR address range register | | 79 | ARR address range register |
80 | ARU audio response unit | | 80 | ARU audio response unit |
81 | AS autonomous system | | 81 | AS autonomous system |
82 | ASA Adaptive Security Appliance | | 82 | ASA Adaptive Security Appliance |
83 | ASAN Address Sanitizer | | 83 | ASAN Address Sanitizer |
84 | ASC advanced smart cache | | 84 | ASC advanced smart cache |
85 | ASCII American Standard Code for Information Interchange | | 85 | ASCII American Standard Code for Information Interchange |
86 | ASD agile software development | | 86 | ASD agile software development |
87 | ASE advanced software environment | | 87 | ASE advanced software environment |
88 | ASF alert standard format | | 88 | ASF alert standard format |
89 | ASIC application-specific integrated circuit | | 89 | ASIC application-specific integrated circuit |
90 | ASK amplitude shift keying | | 90 | ASK amplitude shift keying |
91 | ASLR address space layout randomization | | 91 | ASLR address space layout randomization |
92 | ASN autonomous system number | | 92 | ASN autonomous system number |
93 | ASPM active state power management | | 93 | ASPM active state power management |
94 | ASQ automated software quality | | 94 | ASQ automated software quality |
95 | ASR address space register | | 95 | ASR address space register |
96 | AST abstract syntax tree | | 96 | AST abstract syntax tree |
97 | AST asynchronous system trap | | 97 | AST asynchronous system trap |
98 | AT access time | | 98 | AT access time |
99 | AT advanced technology | | 99 | AT advanced technology |
100 | ATA advanced technology attachment | | 100 | ATA advanced technology attachment |
101 | ATAPI advanced technology attachment packet interface | | 101 | ATAPI advanced technology attachment packet interface |
102 | ATC address translation cache | | 102 | ATC address translation cache |
103 | ATM asynchronous transfer mode | | 103 | ATM asynchronous transfer mode |
104 | ATX advanced technology extended | | 104 | ATX advanced technology extended |
105 | AV anti virus | | 105 | AV anti virus |
106 | AVL Adelson-Velsky-Landis | | 106 | AVL Adelson-Velsky-Landis |
107 | AVX advanced vector extensions | | 107 | AVX advanced vector extensions |
108 | BA byte align | | 108 | BA byte align |
109 | BAL basic assembly language | | 109 | BAL basic assembly language |
110 | BAR base address register | | 110 | BAR base address register |
111 | BBS bulletin board system | | 111 | BBS bulletin board system |
112 | BCC blind carbon copy | | 112 | BCC blind carbon copy |
113 | BCD binary coded decimal | | 113 | BCD binary coded decimal |
114 | BCR byte count register | | 114 | BCR byte count register |
115 | BCS base configuration space | | 115 | BCS base configuration space |
116 | BD baud | | 116 | BD baud |
117 | BDD binary decision diagram | | 117 | BDD binary decision diagram |
118 | BDI bit deinterleave | | 118 | BDI bit deinterleave |
119 | BDUF big design up front | | 119 | BDUF big design up front |
120 | BEDO burst extended data output | | 120 | BEDO burst extended data output |
121 | BER basic encoding rules | | 121 | BER basic encoding rules |
122 | BER bit error {rate,ratio} | | 122 | BER bit error {rate,ratio} |
123 | BERT boot error record table | | 123 | BERT boot error record table |
124 | BFD binary {file,format} descriptor | | 124 | BFD binary {file,format} descriptor |
125 | BFKL big fscking kernel lock | | 125 | BFKL big fscking kernel lock |
126 | BFS breadth-first search | | 126 | BFS breadth-first search |
127 | BFT binary file transfer | | 127 | BFT binary file transfer |
128 | BGA ball grid array | | 128 | BGA ball grid array |
129 | BGP Border Gateway Protocol | | 129 | BGP Border Gateway Protocol |
130 | BGPSEC BGP Security | | 130 | BGPSEC BGP Security |
131 | BIND Berkeley Internet Name Daemon | | 131 | BIND Berkeley Internet Name Daemon |
132 | BIOS Basic Input/Output System | | 132 | BIOS Basic Input/Output System |
133 | BIOS built-in operating system | | 133 | BIOS built-in operating system |
134 | BIST built-in self-test | | 134 | BIST built-in self-test |
135 | BIU bus interface unit | | 135 | BIU bus interface unit |
136 | BKDG BIOS and kernel developer's guide | | 136 | BKDG BIOS and kernel developer's guide |
137 | BLAS basic linear algebra subprograms | | 137 | BLAS basic linear algebra subprograms |
138 | BLC back light control | | 138 | BLC back light control |
139 | BLOB binary large object | | 139 | BLOB binary large object |
140 | BM bus master | | 140 | BM bus master |
141 | BMC baseboard management controller | | 141 | BMC baseboard management controller |
142 | BMIC bus master interface controller | | 142 | BMIC bus master interface controller |
143 | BN boundary neutral | | 143 | BN boundary neutral |
144 | BNF Backus-Naur form | | 144 | BNF Backus-Naur form |
145 | BO binary output | | 145 | BO binary output |
146 | BOF beginning of file | | 146 | BOF beginning of file |
147 | BOM beginning of message | | 147 | BOM beginning of message |
148 | BOM byte order mark | | 148 | BOM byte order mark |
149 | BP base pointer | | 149 | BP base pointer |
150 | BP boot processor | | 150 | BP boot processor |
151 | BPB BIOS parameter block | | 151 | BPB BIOS parameter block |
152 | BPDU bridge protocol data unit | | 152 | BPDU bridge protocol data unit |
153 | BPF Berkeley Packet Filter | | 153 | BPF Berkeley Packet Filter |
154 | BPI bits per inch | | 154 | BPI bits per inch |
155 | BPM business process modelling | | 155 | BPM business process modelling |
156 | BPS bits per second | | 156 | BPS bits per second |
157 | BPSK binary phase shift keying | | 157 | BPSK binary phase shift keying |
158 | BQS Berkeley quality software | | 158 | BQS Berkeley quality software |
159 | BRE basic regular expression | | 159 | BRE basic regular expression |
160 | BS backspace | | 160 | BS backspace |
161 | BS binary sequence | | 161 | BS binary sequence |
162 | BSA basic service area | | 162 | BSA basic service area |
163 | BSD Berkeley Software Distribution | | 163 | BSD Berkeley Software Distribution |
164 | BSDP Boot Service Discovery Protocol | | 164 | BSDP Boot Service Discovery Protocol |
165 | BSF bit scan forward | | 165 | BSF bit scan forward |
166 | BSOD blue screen of death | | 166 | BSOD blue screen of death |
167 | BSP binary space partition | | 167 | BSP binary space partition |
168 | BSP board support package | | 168 | BSP board support package |
169 | BSP bootstrap processor | | 169 | BSP bootstrap processor |
170 | BSR bit scan reverse | | 170 | BSR bit scan reverse |
171 | BSS basic service set | | 171 | BSS basic service set |
172 | BSS block started by symbol | | 172 | BSS block started by symbol |
173 | BSSID basic service set identifier | | 173 | BSSID basic service set identifier |
174 | BT BitTorrent | | 174 | BT BitTorrent |
175 | BT Bluetooth | | 175 | BT Bluetooth |
176 | BT bit test | | 176 | BT bit test |
177 | BTC bit test [and] complement | | 177 | BTC bit test [and] complement |
178 | BTR bit test [and] reset | | 178 | BTR bit test [and] reset |
179 | BTS bit test [and] set | | 179 | BTS bit test [and] set |
180 | BTS bug tracking system | | 180 | BTS bug tracking system |
181 | BW bandwidth | | 181 | BW bandwidth |
182 | BWM block-write mode | | 182 | BWM block-write mode |
183 | BUAG big ugly ASCII graphic | | 183 | BUAG big ugly ASCII graphic |
184 | CA certificate authority | | 184 | CA certificate authority |
185 | CAD computer-aided design | | 185 | CAD computer-aided design |
186 | CAM computer assisted manufacturing | | 186 | CAM computer assisted manufacturing |
187 | CAM conditional access module | | 187 | CAM conditional access module |
188 | CAM content addressable memory | | 188 | CAM content addressable memory |
189 | CARP Common Address Redundancy Protocol | | 189 | CARP Common Address Redundancy Protocol |
190 | CAS column address strobe | | 190 | CAS column address strobe |
191 | CAS compare and swap | | 191 | CAS compare and swap |
192 | CAS computer algebra system | | 192 | CAS computer algebra system |
193 | CASE computer aided software engineering | | 193 | CASE computer aided software engineering |
194 | CAU control access unit | | 194 | CAU control access unit |
195 | CAV constant angular velocity | | 195 | CAV constant angular velocity |
196 | CBC cipher block chaining | | 196 | CBC cipher block chaining |
197 | CBR constant bit rate | | 197 | CBR constant bit rate |
198 | CC carbon copy | | 198 | CC carbon copy |
199 | CCD charge coupled device | | 199 | CCD charge coupled device |
200 | CCI co-channel interferer | | 200 | CCI co-channel interferer |
201 | CCNUMA cache-coherent non-uniform memory access | | 201 | CCNUMA cache-coherent non-uniform memory access |
202 | CD cache disable | | 202 | CD cache disable |
203 | CD compact disc | | 203 | CD compact disc |
204 | CDDA compact disc digital audio | | 204 | CDDA compact disc digital audio |
205 | CDMA code division multiple access | | 205 | CDMA code division multiple access |
206 | CDP Cisco Discovery Protocol | | 206 | CDP Cisco Discovery Protocol |
207 | CDRAM cache dynamic random access memory | | 207 | CDRAM cache dynamic random access memory |
208 | CER canonical encoding rules | | 208 | CER canonical encoding rules |
209 | CF compact flash | | 209 | CF compact flash |
210 | CFB cipher feedback | | 210 | CFB cipher feedback |
211 | CFG context-free grammar | | 211 | CFG context-free grammar |
212 | CFG control-flow graph | | 212 | CFG control-flow graph |
213 | CFI control-flow integrity sanitizer | | 213 | CFI control-flow integrity sanitizer |
214 | CG control gate | | 214 | CG control gate |
215 | CGA Color Graphics Adapter | | 215 | CGA Color Graphics Adapter |
216 | CGI common gateway interface | | 216 | CGI common gateway interface |
217 | CGN Carrier-Grade NAT | | 217 | CGN Carrier-Grade NAT |
218 | CHAP Challenge-Handshake Authentication Protocol | | 218 | CHAP Challenge-Handshake Authentication Protocol |
219 | CHS cylinder/head/sector | | 219 | CHS cylinder/head/sector |
220 | CI continuous integration | | 220 | CI continuous integration |
221 | CI {common,component} interface | | 221 | CI {common,component} interface |
222 | CIDR Classless Inter-Domain Routing | | 222 | CIDR Classless Inter-Domain Routing |
223 | CIF common intermediate format | | 223 | CIF common intermediate format |
224 | CIFS Common Internet File System | | 224 | CIFS Common Internet File System |
225 | CIL common intermediate language | | 225 | CIL common intermediate language |
226 | CIR carrier-to-interference ratio | | 226 | CIR carrier-to-interference ratio |
227 | CIS contact image sensor | | 227 | CIS contact image sensor |
228 | CISC complex instruction set {computer,computing} | | 228 | CISC complex instruction set {computer,computing} |
229 | CJK Chinese, Japanese, [and] Korean | | 229 | CJK Chinese, Japanese, [and] Korean |
230 | CLF common log format | | 230 | CLF common log format |
231 | CLI command line interface | | 231 | CLI command line interface |
232 | CLR common language runtime | | 232 | CLR common language runtime |
233 | CLTT closed loop thermal throttling | | 233 | CLTT closed loop thermal throttling |
234 | CLUT color look-up table | | 234 | CLUT color look-up table |
235 | CLV constant linear velocity | | 235 | CLV constant linear velocity |
236 | CM configuration management | | 236 | CM configuration management |
237 | CMA concert multithread architecture | | 237 | CMA concert multithread architecture |
238 | CMC chassis management controller | | 238 | CMC chassis management controller |
239 | CMC corrected machine check | | 239 | CMC corrected machine check |
240 | CMS {configuration,content,course} management system | | 240 | CMS {configuration,content,course} management system |
241 | CMI control {management,method} interface | | 241 | CMI control {management,method} interface |
242 | CMOS complementary metal-oxide-semiconductor | | 242 | CMOS complementary metal-oxide-semiconductor |
243 | CMP chip multi-processing | | 243 | CMP chip multi-processing |
244 | CMS content management system | | 244 | CMS content management system |
245 | CMYK cyan magenta yellow black | | 245 | CMYK cyan magenta yellow black |
246 | CN {common,canonical} name | | 246 | CN {common,canonical} name |
247 | CNC computer numerical control | | 247 | CNC computer numerical control |
248 | CNR carrier-to-noise ratio | | 248 | CNR carrier-to-noise ratio |
249 | COF current operating frequency | | 249 | COF current operating frequency |
250 | COFDM coded orthogonal frequency division multiplexing | | 250 | COFDM coded orthogonal frequency division multiplexing |
251 | COFF common object file format | | 251 | COFF common object file format |
252 | COM component object model | | 252 | COM component object model |
253 | COMA cache-only memory architecture | | 253 | COMA cache-only memory architecture |
254 | CORBA common object request broker architecture | | 254 | CORBA common object request broker architecture |
255 | COS class of service | | 255 | COS class of service |
256 | COW copy-on-write | | 256 | COW copy-on-write |
257 | CP continuous pilot | | 257 | CP continuous pilot |
258 | CPB core performance boost | | 258 | CPB core performance boost |
259 | CPC central processor complex | | 259 | CPC central processor complex |
260 | CPC cpu performance counters | | 260 | CPC cpu performance counters |
261 | CPE common phase error | | 261 | CPE common phase error |
262 | CPE common platform enumeration | | 262 | CPE common platform enumeration |
263 | CPER common platform error record | | 263 | CPER common platform error record |
264 | CPG clock pulse generator | | 264 | CPG clock pulse generator |
265 | CPI cycles per instruction | | 265 | CPI cycles per instruction |
266 | CPL current privilege level | | 266 | CPL current privilege level |
267 | CPLD complex programmable logic device | | 267 | CPLD complex programmable logic device |
268 | CPP C preprocessor | | 268 | CPP C preprocessor |
269 | CPS characters per second | | 269 | CPS characters per second |
270 | CPT command pass through | | 270 | CPT command pass through |
271 | CPU central processing unit | | 271 | CPU central processing unit |
272 | CR carriage return | | 272 | CR carriage return |
273 | CRC cyclic redundancy check | | 273 | CRC cyclic redundancy check |
274 | CRL carrier recovery loop | | 274 | CRL carrier recovery loop |
275 | CRLF carriage return line feed | | 275 | CRLF carriage return line feed |
276 | CRT cathode ray tube | | 276 | CRT cathode ray tube |
277 | CRUD create, read, update, and delete | | 277 | CRUD create, read, update, and delete |
278 | CS cable select | | 278 | CS cable select |
279 | CS chip select | | 279 | CS chip select |
280 | CS code segment | | 280 | CS code segment |
281 | CS computer science | | 281 | CS computer science |
282 | CSDL {common,conceptual} schema definition language | | 282 | CSDL {common,conceptual} schema definition language |
283 | CSI channel state information | | 283 | CSI channel state information |
284 | CSI common system interface | | 284 | CSI common system interface |
285 | CSMA carrier sense multiple access | | 285 | CSMA carrier sense multiple access |
286 | CSMA/CA carrier sense multiple access with collision avoidance | | 286 | CSMA/CA carrier sense multiple access with collision avoidance |
287 | CSMA/CD carrier sense multiple access with collision detection | | 287 | CSMA/CD carrier sense multiple access with collision detection |
288 | CSR control [and] status registers | | 288 | CSR control [and] status registers |
289 | CSRG Computer Systems Research Group | | 289 | CSRG Computer Systems Research Group |
290 | CSS cascading style sheets | | 290 | CSS cascading style sheets |
291 | CSV comma-separated values | | 291 | CSV comma-separated values |
292 | CTM close to metal | | 292 | CTM close to metal |
293 | CTR counter [mode] | | 293 | CTR counter [mode] |
294 | CTS clear to send | | 294 | CTS clear to send |
295 | CUA common user access | | 295 | CUA common user access |
296 | CUT coordinated universal time | | 296 | CUT coordinated universal time |
297 | CV control voltage | | 297 | CV control voltage |
298 | CVE common vulnerabilities and exposures | | 298 | CVE common vulnerabilities and exposures |
299 | CVS Concurrent Versions System | | 299 | CVS Concurrent Versions System |
300 | DA destination address | | 300 | DA destination address |
301 | DAA distributed application architecture | | 301 | DAA distributed application architecture |
302 | DAB digital audio broadcasting | | 302 | DAB digital audio broadcasting |
303 | DAC digital [to] analog converter | | 303 | DAC digital [to] analog converter |
304 | DAC discretionary access control | | 304 | DAC discretionary access control |
305 | DAD duplicate address detection | | 305 | DAD duplicate address detection |
306 | DANE DNS-based Authentication of Named Entities | | 306 | DANE DNS-based Authentication of Named Entities |
307 | DAO disk at once | | 307 | DAO disk at once |
308 | DAP Directory Access Protocol | | 308 | DAP Directory Access Protocol |
309 | DAT digital audio tape | | 309 | DAT digital audio tape |
310 | DAT dynamic acceleration technology | | 310 | DAT dynamic acceleration technology |
311 | DB database | | 311 | DB database |
312 | DBA database administrator | | 312 | DBA database administrator |
313 | DBA dynamic bandwidth allocation | | 313 | DBA dynamic bandwidth allocation |
314 | DBB data bus buffer | | 314 | DBB data bus buffer |
315 | DBC design by contract | | 315 | DBC design by contract |
316 | DBL dynamic buffer limiting | | 316 | DBL dynamic buffer limiting |
317 | DBMS database management system | | 317 | DBMS database management system |
318 | DBS database server | | 318 | DBS database server |
319 | DC direct current | | 319 | DC direct current |
320 | DCC Direct Client-to-Client | | 320 | DCC Direct Client-to-Client |
321 | DCC direct cable connect | | 321 | DCC direct cable connect |
322 | DCD data carrier detect | | 322 | DCD data carrier detect |
323 | DCE data control equipment | | 323 | DCE data control equipment |
324 | DCE distributed computing environment | | 324 | DCE distributed computing environment |
325 | DCIM data center infrastructure management | | 325 | DCIM data center infrastructure management |
326 | DCIM digital camera images | | 326 | DCIM digital camera images |
327 | DCL Digital Command Language | | 327 | DCL Digital Command Language |
328 | DCOM distributed component object model | | 328 | DCOM distributed component object model |
329 | DCOP Desktop COmmunication Protocol | | 329 | DCOP Desktop COmmunication Protocol |
330 | DCS data collection systems | | 330 | DCS data collection systems |
331 | DCT discrete cosine transform | | 331 | DCT discrete cosine transform |
332 | DCU data cache unit | | 332 | DCU data cache unit |
333 | DDC display data channel | | 333 | DDC display data channel |
334 | DDE dynamic data exchange | | 334 | DDE dynamic data exchange |
335 | DDK device driver kit | | 335 | DDK device driver kit |
336 | DDL data description language | | 336 | DDL data description language |
337 | DDR double data rate | | 337 | DDR double data rate |
338 | DDS direct digital sound | | 338 | DDS direct digital sound |
339 | DDWG Digital Display Working Group | | 339 | DDWG Digital Display Working Group |
340 | DE debugging extensions | | 340 | DE debugging extensions |
341 | DE desktop environment | | 341 | DE desktop environment |
342 | DEA data encryption algorithm | | 342 | DEA data encryption algorithm |
343 | DEC Digital Equipment Corporation | | 343 | DEC Digital Equipment Corporation |
344 | DEK data encryption key | | 344 | DEK data encryption key |
345 | DEP data execution prevention | | 345 | DEP data execution prevention |
346 | DER distinguished encoding rules | | 346 | DER distinguished encoding rules |
347 | DES Data Encryption Standard | | 347 | DES Data Encryption Standard |
348 | DF don't fragment | | 348 | DF don't fragment |
349 | DFA deterministic finite automaton | | 349 | DFA deterministic finite automaton |
350 | DFC data flow control | | 350 | DFC data flow control |
351 | DFS depth first search | | 351 | DFS depth first search |
352 | DFS distributed file system | | 352 | DFS distributed file system |
353 | DFSAN Data Flow Sanitizer | | 353 | DFSAN Data Flow Sanitizer |
354 | DFT diagnostic function test | | 354 | DFT diagnostic function test |
355 | DFT discrete Fourier transform | | 355 | DFT discrete Fourier transform |
356 | DGL data generation language | | 356 | DGL data generation language |
357 | DH Diffie-Hellman | | 357 | DH Diffie-Hellman |
358 | DHCP Dynamic Host Configuration Protocol | | 358 | DHCP Dynamic Host Configuration Protocol |
359 | DIFS distributed inter-frame space | | 359 | DIFS distributed inter-frame space |
360 | DIMM dual inline memory module | | 360 | DIMM dual inline memory module |
361 | DIRT design in real time | | 361 | DIRT design in real time |
362 | DL diode logic | | 362 | DL diode logic |
363 | DL discrete logarithm | | 363 | DL discrete logarithm |
364 | DL download | | 364 | DL download |
365 | DLE data link escape | | 365 | DLE data link escape |
366 | DLL dynamic link library | | 366 | DLL dynamic link library |
367 | DLP discrete logarithm problem | | 367 | DLP discrete logarithm problem |
368 | DMA direct memory access | | 368 | DMA direct memory access |
369 | DMI desktop management interface | | 369 | DMI desktop management interface |
370 | DMS document management system | | 370 | DMS document management system |
371 | DMT discrete multitone modulation | | 371 | DMT discrete multitone modulation |
372 | DMU data management layer | | 372 | DMU data management layer |
373 | DNARD Digital network appliance reference design | | 373 | DNARD Digital network appliance reference design |
374 | DND drag and drop | | 374 | DND drag and drop |
375 | DNLC directory name lookup cache | | 375 | DNLC directory name lookup cache |
376 | DNS Domain Name System | | 376 | DNS Domain Name System |
377 | DNSSEC DNS Security Extensions | | 377 | DNSSEC DNS Security Extensions |
378 | DOE distributed object environment | | 378 | DOE distributed object environment |
379 | DOF data over fibre | | 379 | DOF data over fibre |
380 | DOM document object model | | 380 | DOM document object model |
381 | DOS denial of service | | 381 | DOS denial of service |
382 | DOS disk operating system | | 382 | DOS disk operating system |
383 | DP DisplayPort | | 383 | DP DisplayPort |
384 | DPC deferred procedure call | | 384 | DPC deferred procedure call |
385 | DPCM differential pulse code modulation | | 385 | DPCM differential pulse code modulation |
386 | DPD dead peer detection | | 386 | DPD dead peer detection |
387 | DPI deep packet inspection | | 387 | DPI deep packet inspection |
388 | DPI dots per inch | | 388 | DPI dots per inch |
389 | DPL descriptor privilege level | | 389 | DPL descriptor privilege level |
390 | DPS Display PostScript | | 390 | DPS Display PostScript |
391 | DPST display power savings technology | | 391 | DPST display power savings technology |
392 | DRAAS disaster recovery as a service | | 392 | DRAAS disaster recovery as a service |
393 | DRAM dynamic random access memory | | 393 | DRAM dynamic random access memory |
394 | DRBG deterministic random bit generator | | 394 | DRBG deterministic random bit generator |
395 | DRI direct rendering infrastructure | | 395 | DRI direct rendering infrastructure |
396 | DRM digital rights management | | 396 | DRM digital rights management |
397 | DRRS display refresh rate switching | | 397 | DRRS display refresh rate switching |
398 | DS debug store | | 398 | DS debug store |
399 | DSA digital signature algorithm | | 399 | DSA digital signature algorithm |
400 | DSAP destination service access point | | 400 | DSAP destination service access point |
401 | DSB double-sideband modulation | | 401 | DSB double-sideband modulation |
402 | DSDT differentiated system descriptor table | | 402 | DSDT differentiated system descriptor table |
403 | DSL dataset and snapshot layer | | 403 | DSL dataset and snapshot layer |
404 | DSL digital subscriber line | | 404 | DSL digital subscriber line |
405 | DSL domain specific language | | 405 | DSL domain specific language |
406 | DSLAM digital subscriber line access multiplexer | | 406 | DSLAM digital subscriber line access multiplexer |
407 | DSN delivery status notification | | 407 | DSN delivery status notification |
408 | DSO dynamic shared object | | 408 | DSO dynamic shared object |
409 | DSP digital signal processor | | 409 | DSP digital signal processor |
410 | DSSS direct sequence spread spectrum | | 410 | DSSS direct sequence spread spectrum |
411 | DTD document type definition | | 411 | DTD document type definition |
412 | DTE data terminal equipment | | 412 | DTE data terminal equipment |
413 | DTE dumb terminal emulator | | 413 | DTE dumb terminal emulator |
414 | DTL diode-transistor logic | | 414 | DTL diode-transistor logic |
415 | DTLS datagram transport layer security | | 415 | DTLS datagram transport layer security |
416 | DTS digital thermal sensor | | 416 | DTS digital thermal sensor |
417 | DUT device under test | | 417 | DUT device under test |
418 | DVB digital video broadcasting | | 418 | DVB digital video broadcasting |
419 | DVCS distributed version control system | | 419 | DVCS distributed version control system |
420 | DVD digital versatile disc | | 420 | DVD digital versatile disc |
421 | DVFS dynamic voltage and frequency scaling | | 421 | DVFS dynamic voltage and frequency scaling |
422 | DVI device independent | | 422 | DVI device independent |
423 | DVI Digital Visual Interface | | 423 | DVI Digital Visual Interface |
424 | DVR digital video recorder | | 424 | DVR digital video recorder |
425 | E-XER extended XML encoding rules | | 425 | E-XER extended XML encoding rules |
426 | EABI embedded-application binary interface | | 426 | EABI embedded-application binary interface |
427 | EAI Email Address Internationalization | | 427 | EAI Email Address Internationalization |
428 | EAI Enterprise Application Integration | | 428 | EAI Enterprise Application Integration |
429 | EAP Extensible Authentication Protocol | | 429 | EAP Extensible Authentication Protocol |
430 | EAPOL EAP over Lan | | 430 | EAPOL EAP over Lan |
431 | EBCDIC Extended Binary Coded Decimal Interchange Code | | 431 | EBCDIC Extended Binary Coded Decimal Interchange Code |
432 | EBDA Extended BIOS Data Area | | 432 | EBDA Extended BIOS Data Area |
433 | EBR extended boot record | | 433 | EBR extended boot record |
434 | EC elliptic curve | | 434 | EC elliptic curve |
435 | ECC elliptic curve cryptography | | 435 | ECC elliptic curve cryptography |
436 | ECC error correction code | | 436 | ECC error correction code |
437 | ECDH elliptic curve Diffie-Hellman | | 437 | ECDH elliptic curve Diffie-Hellman |
438 | ECDL elliptic curve discrete logarithm | | 438 | ECDL elliptic curve discrete logarithm |
439 | ECDLP elliptic curve discrete logarithm problem | | 439 | ECDLP elliptic curve discrete logarithm problem |
440 | ECDSA elliptic curve digital signature algorithm | | 440 | ECDSA elliptic curve digital signature algorithm |
441 | ECL emitter-coupled logic | | 441 | ECL emitter-coupled logic |
442 | ECN explicit congestion notification | | 442 | ECN explicit congestion notification |
443 | ECP enhanced capability port | | 443 | ECP enhanced capability port |
444 | ECS enhanced chip set | | 444 | ECS enhanced chip set |
445 | ECS extended configuration space | | 445 | ECS extended configuration space |
446 | EDAC error detection and correction | | 446 | EDAC error detection and correction |
447 | EDAT enhanced dynamic acceleration technology | | 447 | EDAT enhanced dynamic acceleration technology |
448 | EdDSA Edwards curve digital signature algorithm | | 448 | EdDSA Edwards curve digital signature algorithm |
449 | EDGE explicit data graph execution | | 449 | EDGE explicit data graph execution |
450 | EDID extended display identification data | | 450 | EDID extended display identification data |
451 | EDO extended data out | | 451 | EDO extended data out |
452 | EDS electronical data sheet | | 452 | EDS electronical data sheet |
453 | EEE energy efficient ethernet | | 453 | EEE energy efficient ethernet |
454 | EEPROM electrically erasable programmable read only memory | | 454 | EEPROM electrically erasable programmable read only memory |
455 | EFI extensible firmware interface | | 455 | EFI extensible firmware interface |
456 | EFL emitter follower logic | | 456 | EFL emitter follower logic |
457 | EFM eight to fourteen modulation | | 457 | EFM eight to fourteen modulation |
458 | EGA Enhanced Graphics Adapter | | 458 | EGA Enhanced Graphics Adapter |
459 | EGP exterior gateway protocol | | 459 | EGP exterior gateway protocol |
460 | EH extension header | | 460 | EH extension header |
461 | EIDE enhanced IDE | | 461 | EIDE enhanced IDE |
462 | EISA extended industry standard architecture | | 462 | EISA extended industry standard architecture |
463 | EINJ error injection table | | 463 | EINJ error injection table |
464 | ELF executable and linking format | | 464 | ELF executable and linking format |
465 | ELS entry level system | | 465 | ELS entry level system |
466 | EMI electro-magnetic interference | | 466 | EMI electro-magnetic interference |
467 | EMP electro-magnetic pulse | | 467 | EMP electro-magnetic pulse |
468 | EMR electro-magnetic radiation | | 468 | EMR electro-magnetic radiation |
469 | EMACS Editor MACroS | | 469 | EMACS Editor MACroS |
470 | EOF end of file | | 470 | EOF end of file |
471 | EOI end of interrupt | | 471 | EOI end of interrupt |
472 | EOIS end of interactive support | | 472 | EOIS end of interactive support |
473 | EOL end of life | | 473 | EOL end of life |
474 | EOL end of line | | 474 | EOL end of line |
475 | EOT end of transmission | | 475 | EOT end of transmission |
476 | EPIC explicitly parallel instruction computing | | 476 | EPIC explicitly parallel instruction computing |
477 | EPP enhanced parallel port | | 477 | EPP enhanced parallel port |
478 | EPRML extended partial response, maximum likelihood | | 478 | EPRML extended partial response, maximum likelihood |
479 | EPROM erasable programmable read only memory | | 479 | EPROM erasable programmable read only memory |
480 | ERD emergency recovery disk | | 480 | ERD emergency recovery disk |
481 | ERD entity relationship diagram | | 481 | ERD entity relationship diagram |
482 | ERE extended regular expression | | 482 | ERE extended regular expression |
483 | ERST error record serialization table | | 483 | ERST error record serialization table |
484 | ESAN Efficiency Sanitizer | | 484 | ESAN Efficiency Sanitizer |
485 | ESB enterprise service bus | | 485 | ESB enterprise service bus |
486 | ESDI enhanced small disk interface | | 486 | ESDI enhanced small disk interface |
487 | ESDRAM enhanced synchronous dynamic random access memory | | 487 | ESDRAM enhanced synchronous dynamic random access memory |
488 | ESI enclosure services interface | | 488 | ESI enclosure services interface |
489 | ESS electronic switching system | | 489 | ESS electronic switching system |
490 | ESS extended service set | | 490 | ESS extended service set |
491 | ESSID extended service set identifier | | 491 | ESSID extended service set identifier |
492 | EST enhanced speedstep | | 492 | EST enhanced speedstep |
493 | ETL extract, transform, load | | 493 | ETL extract, transform, load |
494 | EU execution unit | | 494 | EU execution unit |
495 | EULA end user license agreement | | 495 | EULA end user license agreement |
496 | FAT file allocation table | | 496 | FAT file allocation table |
497 | FBRAM frame buffer random access memory | | 497 | FBRAM frame buffer random access memory |
498 | FCIF full common intermediate format | | 498 | FCIF full common intermediate format |
499 | FCL fiber channel loop | | 499 | FCL fiber channel loop |
500 | FCS frame check sequence | | 500 | FCS frame check sequence |
501 | FDC floppy disk controller | | 501 | FDC floppy disk controller |
502 | FDD floppy disk drive | | 502 | FDD floppy disk drive |
503 | FDDI fiber distributed data interface | | 503 | FDDI fiber distributed data interface |
504 | FDE full disk encryption | | 504 | FDE full disk encryption |
505 | FDT flattened device tree | | 505 | FDT flattened device tree |
506 | FEA finite element analysis | | 506 | FEA finite element analysis |
507 | FEC forward error correction | | 507 | FEC forward error correction |
508 | FET field-effect transistor | | 508 | FET field-effect transistor |
509 | FF finite field | | 509 | FF finite field |
510 | FF form feed | | 510 | FF form feed |
511 | FFDH finite-field Diffie-Hellman | | 511 | FFDH finite-field Diffie-Hellman |
512 | FFH functional fixed hardware | | 512 | FFH functional fixed hardware |
513 | FFI foreign function interface | | 513 | FFI foreign function interface |
514 | FFM focus follows mouse | | 514 | FFM focus follows mouse |
515 | FFS Fast File System | | 515 | FFS Fast File System |
516 | FFS find first set | | 516 | FFS find first set |
517 | FFT fast Fourier transform | | 517 | FFT fast Fourier transform |
518 | FG floating gate | | 518 | FG floating gate |
519 | FHSS frequency hop spread spectrum | | 519 | FHSS frequency hop spread spectrum |
520 | FID frequency identifier | | 520 | FID frequency identifier |
521 | FIFO first in, first out | | 521 | FIFO first in, first out |
522 | FILO first in, last out | | 522 | FILO first in, last out |
523 | FIPS Federal Information Processing Standards | | 523 | FIPS Federal Information Processing Standards |
524 | FIR fast infrared | | 524 | FIR fast infrared |
525 | FLOPS floating [point] operations per second | | 525 | FLOPS floating [point] operations per second |
526 | FLOSS free/libre/open source software | | 526 | FLOSS free/libre/open source software |
527 | FM frequency modulation | | 527 | FM frequency modulation |
528 | FMR false match rate | | 528 | FMR false match rate |
529 | FOSS free/open source software | | 529 | FOSS free/open source software |
530 | FPGA field programmable gate array | | 530 | FPGA field programmable gate array |
531 | FPM fast page mode | | 531 | FPM fast page mode |
532 | FPR floating point register | | 532 | FPR floating point register |
533 | FPU floating point unit | | 533 | FPU floating point unit |
534 | FQDN fully qualified domain name | | 534 | FQDN fully qualified domain name |
535 | FRR false rejection rate | | 535 | FRR false rejection rate |
536 | FRU field replaceable unit | | 536 | FRU field replaceable unit |
537 | FS file system | | 537 | FS file system |
538 | FSB front side bus | | 538 | FSB front side bus |
539 | FSCK file system check | | 539 | FSCK file system check |
540 | FSF Free Software Foundation | | 540 | FSF Free Software Foundation |
541 | FSK frequency shift keying | | 541 | FSK frequency shift keying |
542 | FSM finite-state machine | | 542 | FSM finite-state machine |
543 | FTA fault tree analysis | | 543 | FTA fault tree analysis |
544 | FTL flash translation layer | | 544 | FTL flash translation layer |
545 | FTP File Transfer Protocol | | 545 | FTP File Transfer Protocol |
546 | FTPS File Transfer Protocol Secure | | 546 | FTPS File Transfer Protocol Secure |
547 | FUS fast user switching | | 547 | FUS fast user switching |
548 | FWH firmware hub | | 548 | FWH firmware hub |
549 | FWS folding white space | | 549 | FWS folding white space |
550 | GAL generic array logic | | 550 | GAL generic array logic |
551 | GAS generic address structure | | 551 | GAS generic address structure |
552 | GC garbage collector | | 552 | GC garbage collector |
553 | GCM Galois counter mode | | 553 | GCM Galois counter mode |
554 | GCR group-coded recording | | 554 | GCR group-coded recording |
555 | GDI Graphics Device Interface | | 555 | GDI Graphics Device Interface |
556 | GDT global descriptor table | | 556 | GDT global descriptor table |
557 | GEM graphics environment manager | | 557 | GEM graphics environment manager |
558 | GEM graphics execution manager | | 558 | GEM graphics execution manager |
559 | GENA general event notification architecture | | 559 | GENA general event notification architecture |
560 | GHC Glasgow Haskell compiler | | 560 | GHC Glasgow Haskell compiler |
561 | GHES generic hardware error source | | 561 | GHES generic hardware error source |
562 | GIC generic interrupt controller | | 562 | GIC generic interrupt controller |
563 | GID group identifier | | 563 | GID group identifier |
564 | GIF graphics interchange format | | 564 | GIF graphics interchange format |
565 | GMCH graphics and memory controller hub | | 565 | GMCH graphics and memory controller hub |
566 | GNU GNU's Not Unix | | 566 | GNU GNU's Not Unix |
567 | GOP graphics output protocol | | 567 | GOP graphics output protocol |
568 | GOT global offset table | | 568 | GOT global offset table |
569 | GPE general purpose event | | 569 | GPE general purpose event |
570 | GPF general protection fault | | 570 | GPF general protection fault |
571 | GPG GNU Privacy Guard | | 571 | GPG GNU Privacy Guard |
572 | GPL [GNU] General Public License | | 572 | GPL [GNU] General Public License |
573 | GPR general purpose register | | 573 | GPR general purpose register |
574 | GPS generalized processor sharing | | 574 | GPS generalized processor sharing |
575 | GPT GUID partition table | | 575 | GPT GUID partition table |
576 | GPU graphics processing unit | | 576 | GPU graphics processing unit |
577 | GR golden ratio | | 577 | GR golden ratio |
578 | GRE generic routing encapsulation | | 578 | GRE generic routing encapsulation |
579 | GSI global system interrupt | | 579 | GSI global system interrupt |
580 | GUI graphical user interface | | 580 | GUI graphical user interface |
581 | GUID globally unique identifier | | 581 | GUID globally unique identifier |
582 | GVFS git virtual file system | | 582 | GVFS git virtual file system |
583 | HA high availability | | 583 | HA high availability |
584 | HAL hardware abstraction layer | | 584 | HAL hardware abstraction layer |
585 | HAT hashed array tree | | 585 | HAT hashed array tree |
586 | HATEOAS hypermedia as the engine of application state | | 586 | HATEOAS hypermedia as the engine of application state |
587 | HBA host bus adapter | | 587 | HBA host bus adapter |
588 | HCF halt and catch fire | | 588 | HCF halt and catch fire |
589 | HCI host controller interface | | 589 | HCI host controller interface |
590 | HCI human-computer interaction | | 590 | HCI human-computer interaction |
591 | HCL hardware compatibility list | | 591 | HCL hardware compatibility list |
592 | HDCP High-bandwidth Digital Content Protection | | 592 | HDCP High-bandwidth Digital Content Protection |
593 | HDD hard disk drive | | 593 | HDD hard disk drive |
594 | HDL hardware description language | | 594 | HDL hardware description language |
595 | HDMI High-Definition Multimedia Interface | | 595 | HDMI High-Definition Multimedia Interface |
596 | HDTV high-definition television | | 596 | HDTV high-definition television |
597 | HECI host embedded controller interface | | 597 | HECI host embedded controller interface |
598 | HEST hardware error source table | | 598 | HEST hardware error source table |
599 | HF high frequency | | 599 | HF high frequency |
600 | HFM highest frequency mode | | 600 | HFM highest frequency mode |
601 | HID human interface device | | 601 | HID human interface device |
602 | HKP HTTP Keyserver Protocol | | 602 | HKP HTTP Keyserver Protocol |
603 | HLL high-level language | | 603 | HLL high-level language |
604 | HMA high memory area | | 604 | HMA high memory area |
605 | HMI human-machine interface | | 605 | HMI human-machine interface |
606 | HOOD hierarchical object oriented design | | 606 | HOOD hierarchical object oriented design |
607 | HP Hewlett-Packard | | 607 | HP Hewlett-Packard |
608 | HPC high performance computing | | 608 | HPC high performance computing |
609 | HPET high precision event timer | | 609 | HPET high precision event timer |
610 | HSM hardware security module | | 610 | HSM hardware security module |
611 | HSM hierarchical storage management | | 611 | HSM hierarchical storage management |
612 | HT hyper-threading | | 612 | HT hyper-threading |
613 | HTC hardware thermal control | | 613 | HTC hardware thermal control |
614 | HTCC high temperature co-fired ceramic | | 614 | HTCC high temperature co-fired ceramic |
615 | HTML HyperText Markup Language | | 615 | HTML HyperText Markup Language |
616 | HTT hyper-threading technology | | 616 | HTT hyper-threading technology |
617 | HTTP Hypertext Transfer Protocol | | 617 | HTTP Hypertext Transfer Protocol |
618 | HTTPS Hypertext Transfer Protocol Secure | | 618 | HTTPS Hypertext Transfer Protocol Secure |
619 | HVM hardware virtual machine | | 619 | HVM hardware virtual machine |
620 | HVDS High-Voltage Differential Signaling | | 620 | HVDS High-Voltage Differential Signaling |
621 | HWASAN Hardware-assisted Address Sanitizer | | 621 | HWASAN Hardware-assisted Address Sanitizer |
622 | HZ Hertz | | 622 | HZ Hertz |
623 | I2O intelligent input/output | | 623 | I2O intelligent input/output |
624 | IA information assurance | | 624 | IA information assurance |
625 | IAAS infrastructure as a service | | 625 | IAAS infrastructure as a service |
626 | IANA Internet Assigned Numbers Authority | | 626 | IANA Internet Assigned Numbers Authority |
627 | IBC iterated block cipher | | 627 | IBC iterated block cipher |
628 | IBM International Business Machines | | 628 | IBM International Business Machines |
629 | IBS instruction based sampling | | 629 | IBS instruction based sampling |
630 | IBSS independent basic service set | | 630 | IBSS independent basic service set |
631 | IC integrated circuit | | 631 | IC integrated circuit |
632 | ICA independent computer architecture | | 632 | ICA independent computer architecture |
633 | ICB Internet Citizen's Band | | 633 | ICB Internet Citizen's Band |
634 | ICE in-circuit emulator | | 634 | ICE in-circuit emulator |
635 | ICE internal compiler error | | 635 | ICE internal compiler error |
636 | ICH I/O controller hub | | 636 | ICH I/O controller hub |
637 | ICMP Internet Control Message Protocol | | 637 | ICMP Internet Control Message Protocol |
638 | ICT information and communications technology | | 638 | ICT information and communications technology |
639 | ICW initialization command word | | 639 | ICW initialization command word |
640 | IDA Intel dynamic acceleration | | 640 | IDA Intel dynamic acceleration |
641 | IDCMP Intuition direct communication message port | | 641 | IDCMP Intuition direct communication message port |
642 | IDE integrated development environment | | 642 | IDE integrated development environment |
643 | IDE integrated drive electronics | | 643 | IDE integrated drive electronics |
644 | IDPS intrusion detection [and] prevention system | | 644 | IDPS intrusion detection [and] prevention system |
645 | IDRP inter-domain routing protocol | | 645 | IDRP inter-domain routing protocol |
646 | IDS intrusion detection system | | 646 | IDS intrusion detection system |
647 | IDT interrupt descriptor table | | 647 | IDT interrupt descriptor table |
648 | IE Internet Explorer | | 648 | IE Internet Explorer |
649 | IEC International Electrotechnical Commission | | 649 | IEC International Electrotechnical Commission |
650 | IEEE Institute of Electrical and Electronics Engineers | | 650 | IEEE Institute of Electrical and Electronics Engineers |
651 | IESG Internet Engineering Steering Group | | 651 | IESG Internet Engineering Steering Group |
652 | IETF Internet Engineering Task Force | | 652 | IETF Internet Engineering Task Force |
653 | IF intermediate frequency | | 653 | IF intermediate frequency |
654 | IFCM isochronous flow control mode | | 654 | IFCM isochronous flow control mode |
655 | IFF Interchange File Format | | 655 | IFF Interchange File Format |
656 | IFS internal field separator | | 656 | IFS internal field separator |
657 | IGD Internet gateway device | | 657 | IGD Internet gateway device |
658 | IGMP Internet Group Management Protocol | | 658 | IGMP Internet Group Management Protocol |
659 | IGP interior gateway protocol | | 659 | IGP interior gateway protocol |
660 | IHV independent hardware vendor | | 660 | IHV independent hardware vendor |
661 | IKE Internet key exchange | | 661 | IKE Internet key exchange |
662 | ILM internal loopback mode | | 662 | ILM internal loopback mode |
663 | ILOM integrated lights-out management | | 663 | ILOM integrated lights-out management |
664 | ILP instruction level parallelism | | 664 | ILP instruction level parallelism |
665 | IM instant messaging | | 665 | IM instant messaging |
666 | IMAP Internet Message Access Protocol | | 666 | IMAP Internet Message Access Protocol |
667 | IMC integrated memory controller | | 667 | IMC integrated memory controller |
668 | IMCR interrupt mode configuration register | | 668 | IMCR interrupt mode configuration register |
669 | IMR interrupt mask register | | 669 | IMR interrupt mask register |
670 | IMS information management system | | 670 | IMS information management system |
671 | IMSI international mobile subscriber identity | | 671 | IMSI international mobile subscriber identity |
672 | INCITS InterNational Committee for Information Technology Standards | | 672 | INCITS InterNational Committee for Information Technology Standards |
673 | IO input/output | | 673 | IO input/output |
674 | IOCTL input/output control | | 674 | IOCTL input/output control |
675 | IOMMU input/output memory management unit | | 675 | IOMMU input/output memory management unit |
676 | IOT Internet of Things | | 676 | IOT Internet of Things |
677 | IP Internet Protocol | | 677 | IP Internet Protocol |
678 | IP intellectual property | | 678 | IP intellectual property |
679 | IPC instructions per cycle | | 679 | IPC instructions per cycle |
680 | IPC interprocess communication | | 680 | IPC interprocess communication |
681 | IPE integrated programming environment | | 681 | IPE integrated programming environment |
682 | IPI intelligent peripheral interface | | 682 | IPI intelligent peripheral interface |
683 | IPI interprocessor interrupt | | 683 | IPI interprocessor interrupt |
684 | IPL Initial Program Load | | 684 | IPL Initial Program Load |
685 | IPL Interrupt Priority Level | | 685 | IPL Interrupt Priority Level |
686 | IPMB Intelligent Platform Management Bus | | 686 | IPMB Intelligent Platform Management Bus |
687 | IPMI Intelligent Platform Management Interface | | 687 | IPMI Intelligent Platform Management Interface |
688 | IPNG Internet Protocol, Next Generation | | 688 | IPNG Internet Protocol, Next Generation |
689 | IPS in-plane switching | | 689 | IPS in-plane switching |
690 | IPS intrusion prevention system | | 690 | IPS intrusion prevention system |
691 | IPSEC Internet Protocol Security | | 691 | IPSEC Internet Protocol Security |
692 | IRC Internet Relay Chat | | 692 | IRC Internet Relay Chat |
693 | IRDA infrared data association | | 693 | IRDA infrared data association |
694 | IRQ interrupt request | | 694 | IRQ interrupt request |
695 | IRQL interrupt request level | | 695 | IRQL interrupt request level |
696 | IRR interrupt request register | | 696 | IRR interrupt request register |
697 | IRTF Internet Research Task Force | | 697 | IRTF Internet Research Task Force |
698 | IS information system | | 698 | IS information system |
699 | ISA industry standard architecture | | 699 | ISA industry standard architecture |
700 | ISA instruction set architecture | | 700 | ISA instruction set architecture |
701 | ISDN integrated services digital network | | 701 | ISDN integrated services digital network |
702 | ISI inter-symbol interference | | 702 | ISI inter-symbol interference |
703 | ISM industrial, scientific, [and] medical | | 703 | ISM industrial, scientific, [and] medical |
704 | ISN initial serial number | | 704 | ISN initial serial number |
705 | ISO International Standards Organization | | 705 | ISO International Standards Organization |
706 | ISOC Internet Society | | 706 | ISOC Internet Society |
707 | ISP Internet service provider | | 707 | ISP Internet service provider |
708 | ISR in-service register | | 708 | ISR in-service register |
709 | ISR interrupt service routine | | 709 | ISR interrupt service routine |
710 | IST interrupt stack table | | 710 | IST interrupt stack table |
711 | ISV independent software vendor | | 711 | ISV independent software vendor |
712 | IT information technology | | 712 | IT information technology |
713 | ITB Intel Turbo Boost | | 713 | ITB Intel Turbo Boost |
714 | ITIL information technology infrastructure library | | 714 | ITIL information technology infrastructure library |
715 | ITP in-target probe | | 715 | ITP in-target probe |
716 | ITSM IT service management | | 716 | ITSM IT service management |
717 | IV initialization vector | | 717 | IV initialization vector |
718 | IVT interrupt vector table | | 718 | IVT interrupt vector table |
719 | JBOD just a bunch of disks | | 719 | JBOD just a bunch of disks |
720 | JFET junction [gate] field-effect transistor | | 720 | JFET junction [gate] field-effect transistor |
721 | JIT just in time | | 721 | JIT just in time |
722 | JPEG Joint Photographic Experts Group | | 722 | JPEG Joint Photographic Experts Group |
723 | JRE Java Runtime Environment | | 723 | JRE Java Runtime Environment |
724 | JSON JavaScript Object Notation | | 724 | JSON JavaScript Object Notation |
725 | JTAG joint test action group | | 725 | JTAG joint test action group |
726 | KASLR kernel address space layout randomization | | 726 | KASLR kernel address space layout randomization |
727 | KB keyboard | | 727 | KB keyboard |
728 | KB kilobyte | | 728 | KB kilobyte |
729 | KBD keyboard | | 729 | KBD keyboard |
730 | KBD kilobaud | | 730 | KBD kilobaud |
731 | KCS keyboard controller style | | 731 | KCS keyboard controller style |
732 | KLOC thousand lines of code | | 732 | KLOC thousand lines of code |
733 | KMS kernel-mode setting | | 733 | KMS kernel-mode setting |
734 | KPI kernel programming interface | | 734 | KPI kernel programming interface |
735 | KVA kernel virtual address | | 735 | KVA kernel virtual address |
736 | KVM kernel virtual machine | | 736 | KVM kernel virtual machine |
737 | KVM kernel virtual memory | | 737 | KVM kernel virtual memory |
738 | KVM keyboard, video, [and] mouse | | 738 | KVM keyboard, video, [and] mouse |
739 | LAMP Linux Apache MySQL {Perl,PHP,Python} | | 739 | LAMP Linux Apache MySQL {Perl,PHP,Python} |
740 | LAN local area network | | 740 | LAN local area network |
741 | LAPIC local advanced programmable interrupt controller | | 741 | LAPIC local advanced programmable interrupt controller |
742 | LAR load access rights | | 742 | LAR load access rights |
743 | LBA logical block addressing | | 743 | LBA logical block addressing |
744 | LBS location-based service | | 744 | LBS location-based service |
745 | LCD liquid crystal display | | 745 | LCD liquid crystal display |
746 | LCP link control protocol | | 746 | LCP link control protocol |
747 | LDA local delivery agent | | 747 | LDA local delivery agent |
748 | LDAP Lightweight Directory Access Protocol | | 748 | LDAP Lightweight Directory Access Protocol |
749 | LDR light-dependent resistor | | 749 | LDR light-dependent resistor |
750 | LDT local descriptor table | | 750 | LDT local descriptor table |
751 | LE logical extent | | 751 | LE logical extent |
752 | LED light emitting diode | | 752 | LED light emitting diode |
753 | LELL low energy link layer | | 753 | LELL low energy link layer |
754 | LER label edge router | | 754 | LER label edge router |
755 | LF line feed | | 755 | LF line feed |
756 | LF low frequency | | 756 | LF low frequency |
757 | LFM lowest frequency mode | | 757 | LFM lowest frequency mode |
758 | LFN long file names | | 758 | LFN long file names |
759 | LFO low-frequency oscillation | | 759 | LFO low-frequency oscillation |
760 | LFS log-structured file system | | 760 | LFS log-structured file system |
761 | LFU least frequently used | | 761 | LFU least frequently used |
762 | LHP loop heat pipe | | 762 | LHP loop heat pipe |
763 | LIFO last in, first out | | 763 | LIFO last in, first out |
764 | LILO LInux LOader | | 764 | LILO LInux LOader |
765 | LILO last in, last out | | 765 | LILO last in, last out |
766 | LINT local interrupt | | 766 | LINT local interrupt |
767 | LIR local Internet registry | | 767 | LIR local Internet registry |
768 | LISP LISt Processor | | 768 | LISP LISt Processor |
769 | LISP Locator/ID Separation Protocol | | 769 | LISP Locator/ID Separation Protocol |
770 | LKM {Linux,loadable} kernel module | | 770 | LKM {Linux,loadable} kernel module |
771 | LKML Linux kernel mailing list | | 771 | LKML Linux kernel mailing list |
772 | LL load linked | | 772 | LL load linked |
773 | LL/SC load linked/store conditional | | 773 | LL/SC load linked/store conditional |
774 | LLC logical link control | | 774 | LLC logical link control |
775 | LLDP link layer discovery protocol | | 775 | LLDP link layer discovery protocol |
776 | LLF low level format | | 776 | LLF low level format |
777 | LLMNR link-local multicast name resolution | | 777 | LLMNR link-local multicast name resolution |
778 | LLVM Low Level Virtual Machine | | 778 | LLVM Low Level Virtual Machine |
779 | LM long mode | | 779 | LM long mode |
780 | LMM link management mode | | 780 | LMM link management mode |
781 | LMP link management protocol | | 781 | LMP link management protocol |
782 | LNO loop nest optimization | | 782 | LNO loop nest optimization |
783 | LOC lines of code | | 783 | LOC lines of code |
784 | LOM lights-out management | | 784 | LOM lights-out management |
785 | LPE Linux performance events | | 785 | LPE Linux performance events |
786 | LPC low pin count | | 786 | LPC low pin count |
787 | LPS local positioning system | | 787 | LPS local positioning system |
788 | LRC longitudinal redundancy check | | 788 | LRC longitudinal redundancy check |
789 | LRM left-to-right mark | | 789 | LRM left-to-right mark |
790 | LRO left-to-right override | | 790 | LRO left-to-right override |
791 | LRU least recently used | | 791 | LRU least recently used |
792 | LSAN Leak Sanitizer | | 792 | LSAN Leak Sanitizer |
793 | LSB Linux standards base | | 793 | LSB Linux standards base |
794 | LSB least significant {bit,byte} | | 794 | LSB least significant {bit,byte} |
795 | LSI large scale integration | | 795 | LSI large scale integration |
796 | LSL load segment limit | | 796 | LSL load segment limit |
797 | LSM log structured merge | | 797 | LSM log structured merge |
798 | LSN Large Scale NAT | | 798 | LSN Large Scale NAT |
799 | LSN log sequence number | | 799 | LSN log sequence number |
800 | LSR label switch router | | 800 | LSR label switch router |
801 | LTCC low temperature co-fired ceramic | | 801 | LTCC low temperature co-fired ceramic |
802 | LTO linear tape-open | | 802 | LTO linear tape-open |
803 | LTO link time optimization | | 803 | LTO link time optimization |
804 | LTR left to right | | 804 | LTR left to right |
805 | LTR load task register | | 805 | LTR load task register |
806 | LTR letter(-sized paper) | | 806 | LTR letter(-sized paper) |
807 | LTS long term support | | 807 | LTS long term support |
808 | LUA Lua Uppercase Accident | | 808 | LUA Lua Uppercase Accident |
809 | LUN logical unit number | | 809 | LUN logical unit number |
810 | LV logical volume | | 810 | LV logical volume |
811 | LVM logical volume management | | 811 | LVM logical volume management |
812 | LVT local vector table | | 812 | LVT local vector table |
813 | LVDS Low-Voltage Differential Signaling | | 813 | LVDS Low-Voltage Differential Signaling |
814 | LWP light-weight process | | 814 | LWP light-weight process |
815 | LZW Lempel Ziv Welch | | 815 | LZW Lempel Ziv Welch |
816 | MAC mandatory access control | | 816 | MAC mandatory access control |
817 | MAC {media,medium} access control | | 817 | MAC {media,medium} access control |
818 | MAC message authentication {check,code} | | 818 | MAC message authentication {check,code} |
819 | MADT multiple APIC descriptor table | | 819 | MADT multiple APIC descriptor table |
820 | MB megabyte | | 820 | MB megabyte |
821 | MBA multi-boot agent | | 821 | MBA multi-boot agent |
822 | MBR master boot record | | 822 | MBR master boot record |
823 | MBS megabits per second | | 823 | MBS megabits per second |
824 | MC memory controller | | 824 | MC memory controller |
825 | MCA machine check architecture | | 825 | MCA machine check architecture |
826 | MCA MicroChannel architecture | | 826 | MCA MicroChannel architecture |
827 | MCC multiversion concurrency control | | 827 | MCC multiversion concurrency control |
828 | MCE machine check exception | | 828 | MCE machine check exception |
829 | MCGA Multi-Color Graphics Array | | 829 | MCGA Multi-Color Graphics Array |
830 | MCH memory controller hub | | 830 | MCH memory controller hub |
831 | MCM multi-chip module | | 831 | MCM multi-chip module |
832 | MCQ memory controlled queue | | 832 | MCQ memory controlled queue |
833 | MD machine-dependent | | 833 | MD machine-dependent |
834 | MD-SAL model-driven service abstraction layer | | 834 | MD-SAL model-driven service abstraction layer |
835 | MDA mail delivery agent | | 835 | MDA mail delivery agent |
836 | MDA Monochrome Display Adapter | | 836 | MDA Monochrome Display Adapter |
837 | MDC Management Data Clock | | 837 | MDC Management Data Clock |
838 | MDI Medium Dependent Interface | | 838 | MDI Medium Dependent Interface |
839 | MDI-X Medium Dependent Interface Crossover | | 839 | MDI-X Medium Dependent Interface Crossover |
840 | MDIO Management Data Input/Output | | 840 | MDIO Management Data Input/Output |
841 | MDMX MIPS Digital Media eXtension | | 841 | MDMX MIPS Digital Media eXtension |
842 | MDRAM multibank dynamic random access memory | | 842 | MDRAM multibank dynamic random access memory |
843 | MESI modified, exclusive, shared, invalid | | 843 | MESI modified, exclusive, shared, invalid |
844 | MFC merge from current | | 844 | MFC merge from current |
845 | MFC Microsoft Foundation Classes | | 845 | MFC Microsoft Foundation Classes |
846 | MFM modified frequency modulation | | 846 | MFM modified frequency modulation |
| | | 847 | MFU most frequently used |
847 | MI machine-independent | | 848 | MI machine-independent |
848 | MIB management information base | | 849 | MIB management information base |
849 | MIC message integrity {check,code} | | 850 | MIC message integrity {check,code} |
850 | MID mobile Internet device | | 851 | MID mobile Internet device |
851 | MIDI musical instrument digital interface | | 852 | MIDI musical instrument digital interface |
852 | MIF management information format | | 853 | MIF management information format |
853 | MII media independent interface | | 854 | MII media independent interface |
854 | MIM man in the middle | | 855 | MIM man in the middle |
855 | MIMD multiple instruction, multiple data | | 856 | MIMD multiple instruction, multiple data |
856 | MIME Multipurpose Internet Mail Extensions | | 857 | MIME Multipurpose Internet Mail Extensions |
857 | MIMO multiple input multiple output | | 858 | MIMO multiple input multiple output |
858 | MIPS million instructions per second | | 859 | MIPS million instructions per second |
859 | MIPS Microprocessor without Interlocked Pipeline Stages | | 860 | MIPS Microprocessor without Interlocked Pipeline Stages |
860 | MISD multiple instruction, single data | | 861 | MISD multiple instruction, single data |
861 | MIT Massachusetts Institute of Technology | | 862 | MIT Massachusetts Institute of Technology |
862 | MITM man in the middle | | 863 | MITM man in the middle |
863 | ML machine language | | 864 | ML machine language |
864 | ML machine learning | | 865 | ML machine learning |
865 | ML mailing list | | 866 | ML mailing list |
866 | ML markup language | | 867 | ML markup language |
867 | ML maximum likelihood | | 868 | ML maximum likelihood |
868 | MLC multi-level cell | | 869 | MLC multi-level cell |
869 | MLD multicast listener discovery | | 870 | MLD multicast listener discovery |
870 | MLHP micro loop heat pipe | | 871 | MLHP micro loop heat pipe |
871 | MMF multi-mode fiber | | 872 | MMF multi-mode fiber |
872 | MMIC monolithic microwave integrated circuit | | 873 | MMIC monolithic microwave integrated circuit |
873 | MMIO memory mapped input/output | | 874 | MMIO memory mapped input/output |
874 | MMORPG massive multiplayer online role playing game | | 875 | MMORPG massive multiplayer online role playing game |
875 | MMU memory management unit | | 876 | MMU memory management unit |
876 | MMX matrix math extension | | 877 | MMX matrix math extension |
877 | MMX multimedia extension | | 878 | MMX multimedia extension |
878 | MMX multiple math extension | | 879 | MMX multiple math extension |
879 | MO magneto-optical | | 880 | MO magneto-optical |
880 | MOESI modified, owned, exclusive, shared, invalid | | 881 | MOESI modified, owned, exclusive, shared, invalid |
881 | MOF maximum operating frequency | | 882 | MOF maximum operating frequency |
882 | MOSFET metal-oxide-semiconductor field-effect transistor | | 883 | MOSFET metal-oxide-semiconductor field-effect transistor |
883 | MOSI modified, owned, shared, invalid | | 884 | MOSI modified, owned, shared, invalid |
884 | MP multi-platform | | 885 | MP multi-platform |
885 | MP multiplayer | | 886 | MP multiplayer |
886 | MP {multiprocessing,multiprocessor} | | 887 | MP {multiprocessing,multiprocessor} |
887 | MPEG moving picture experts group | | 888 | MPEG moving picture experts group |
888 | MPI message passing interface | | 889 | MPI message passing interface |
889 | MPLS Multiprotocol Label Switching | | 890 | MPLS Multiprotocol Label Switching |
890 | MPP massively parallel processor | | 891 | MPP massively parallel processor |
891 | MPS memory pool system | | 892 | MPS memory pool system |
892 | MPS multiprocessor specification | | 893 | MPS multiprocessor specification |
893 | MQTT Message Queuing Telemetry Transport | | 894 | MQTT Message Queuing Telemetry Transport |
894 | MR modem ready | | 895 | MR modem ready |
895 | MRU most recently used | | 896 | MRU most recently used |
896 | MS Microsoft | | 897 | MS Microsoft |
897 | MSAN Memory Sanitizer | | 898 | MSAN Memory Sanitizer |
898 | MSB most significant {bit,byte} | | 899 | MSB most significant {bit,byte} |
899 | MSC message sequence chart | | 900 | MSC message sequence chart |
900 | MSE mean square error | | 901 | MSE mean square error |
901 | MSF minutes seconds frames | | 902 | MSF minutes seconds frames |
902 | MSI message signaled interrupt | | 903 | MSI message signaled interrupt |
903 | MSI modified, shared, invalid | | 904 | MSI modified, shared, invalid |
904 | MSR {machine state,model-specific} register | | 905 | MSR {machine state,model-specific} register |
905 | MSS maximum segment size | | 906 | MSS maximum segment size |
906 | MSTP Multiple Spanning Tree Protocol | | 907 | MSTP Multiple Spanning Tree Protocol |
907 | MT machine translation | | 908 | MT machine translation |
908 | MTA mail transfer agent | | 909 | MTA mail transfer agent |
909 | MTBF mean time between failures | | 910 | MTBF mean time between failures |
910 | MTP media transfer protocol | | 911 | MTP media transfer protocol |
911 | MTRR memory type range register | | 912 | MTRR memory type range register |
912 | MTTF mean time to failure | | 913 | MTTF mean time to failure |
913 | MTU maximum transmission unit | | 914 | MTU maximum transmission unit |
914 | MUA mail user agent | | 915 | MUA mail user agent |
915 | MUD multi-user domain | | 916 | MUD multi-user domain |
916 | MVCC multiversion concurrency control | | 917 | MVCC multiversion concurrency control |
917 | MWE module width encoding | | 918 | MWE module width encoding |
918 | MX mail exchange | | 919 | MX mail exchange |
919 | NACK negative acknowledgement | | 920 | NACK negative acknowledgement |
920 | NAN not a number | | 921 | NAN not a number |
921 | NAPT Network Address Port Translation | | 922 | NAPT Network Address Port Translation |
922 | NAS network attached storage | | 923 | NAS network attached storage |
923 | NAT network address translation | | 924 | NAT network address translation |
924 | NAV network allocation vector | | 925 | NAV network allocation vector |
925 | NC numerical control | | 926 | NC numerical control |
926 | NCO numerically-controlled oscillator | | 927 | NCO numerically-controlled oscillator |
927 | NCP Network Control Protocol | | 928 | NCP Network Control Protocol |
928 | NCQ native command queuing | | 929 | NCQ native command queuing |
929 | ND neighbor discovery | | 930 | ND neighbor discovery |
930 | NDFA nondeterministic finite automaton | | 931 | NDFA nondeterministic finite automaton |
931 | NE numeric error | | 932 | NE numeric error |
932 | NEWS Network extensible Window System | | 933 | NEWS Network extensible Window System |
933 | NFA nondeterministic finite automaton | | 934 | NFA nondeterministic finite automaton |
934 | NFC near-field communication | | 935 | NFC near-field communication |
935 | NFCI no functional change intended | | 936 | NFCI no functional change intended |
936 | NFS network file system | | 937 | NFS network file system |
937 | NFV network function virtualization | | 938 | NFV network function virtualization |
938 | NIA next instruction address | | 939 | NIA next instruction address |
939 | NIC network information center | | 940 | NIC network information center |
940 | NIC network interface card | | 941 | NIC network interface card |
941 | NIDS network intrusion detection system | | 942 | NIDS network intrusion detection system |
942 | NIP network interface protocol | | 943 | NIP network interface protocol |
943 | NIPS network intrusion prevention system | | 944 | NIPS network intrusion prevention system |
944 | NIS network information service | | 945 | NIS network information service |
945 | NIST National Institute of Standards and Technology | | 946 | NIST National Institute of Standards and Technology |
946 | NLS native language support | | 947 | NLS native language support |
947 | NMI non-maskable interrupt | | 948 | NMI non-maskable interrupt |
948 | NNTP Network News Transfer Protocol | | 949 | NNTP Network News Transfer Protocol |
949 | NOC network operations center | | 950 | NOC network operations center |
950 | NOP no operation | | 951 | NOP no operation |
951 | NOS network operating system | | 952 | NOS network operating system |
952 | NP nondeterministic polynomial time | | 953 | NP nondeterministic polynomial time |
953 | NRND not recommended for new designs | | 954 | NRND not recommended for new designs |
954 | NRZ non-return to zero | | 955 | NRZ non-return to zero |
955 | NSA nation-state adversary | | 956 | NSA nation-state adversary |
956 | NSA no such agency | | 957 | NSA no such agency |
957 | NSA National Security Agency | | 958 | NSA National Security Agency |
958 | NTM non-deterministic Turing machine | | 959 | NTM non-deterministic Turing machine |
959 | NTP Network Time Protocol | | 960 | NTP Network Time Protocol |
960 | NUMA non-uniform memory access | | 961 | NUMA non-uniform memory access |
961 | NVRAM non-volatile random access memory | | 962 | NVRAM non-volatile random access memory |
962 | NW not write-through | | 963 | NW not write-through |
963 | NX no execute | | 964 | NX no execute |
964 | OAEP optimal asymmetric encryption padding | | 965 | OAEP optimal asymmetric encryption padding |
965 | OAM operations, administration, and {management,maintenance} | | 966 | OAM operations, administration, and {management,maintenance} |
966 | OBO off by one | | 967 | OBO off by one |
967 | OBOE off by one error | | 968 | OBOE off by one error |
968 | OCL object constraint language | | 969 | OCL object constraint language |
969 | OCR optical character recognition | | 970 | OCR optical character recognition |
970 | ODCM on-demand clock modulation | | 971 | ODCM on-demand clock modulation |
971 | ODT on-die termination | | 972 | ODT on-die termination |
972 | OEM original equipment manufacturer | | 973 | OEM original equipment manufacturer |
973 | OFB output feedback | | 974 | OFB output feedback |
974 | OFDM orthogonal frequency division multiplexing | | 975 | OFDM orthogonal frequency division multiplexing |
975 | OFET organic field-effect transistor | | 976 | OFET organic field-effect transistor |
976 | OLAP online analytical processing | | 977 | OLAP online analytical processing |
977 | OLE object linking and embedding | | 978 | OLE object linking and embedding |
978 | OLTP online transaction processing | | 979 | OLTP online transaction processing |
979 | ONFI open nand flash interface | | 980 | ONFI open nand flash interface |
980 | OO OpenOffice | | 981 | OO OpenOffice |
981 | OO object oriented | | 982 | OO object oriented |
982 | OOB out of band | | 983 | OOB out of band |
983 | OOE out of order execution | | 984 | OOE out of order execution |
984 | OOM out of memory | | 985 | OOM out of memory |
985 | OOO OpenOffice.org | | 986 | OOO OpenOffice.org |
986 | OOP object oriented programming | | 987 | OOP object oriented programming |
987 | OOPS object oriented programming systems | | 988 | OOPS object oriented programming systems |
988 | OOSE object oriented software engineering | | 989 | OOSE object oriented software engineering |
989 | OPM operations per minute | | 990 | OPM operations per minute |
990 | OPS operations per second | | 991 | OPS operations per second |
991 | OQL Object Query Language | | 992 | OQL Object Query Language |
992 | ORB object request broker | | 993 | ORB object request broker |
993 | ORM object-relational mapping | | 994 | ORM object-relational mapping |
994 | OS operating system | | 995 | OS operating system |
995 | OSD open source definition | | 996 | OSD open source definition |
996 | OSF open software foundation | | 997 | OSF open software foundation |
997 | OSI Open Source Initiative | | 998 | OSI Open Source Initiative |
998 | OSI open systems interconnection | | 999 | OSI open systems interconnection |
999 | OSPF open shortest path first | | 1000 | OSPF open shortest path first |
1000 | OSPM OS power management | | 1001 | OSPM OS power management |
1001 | OSS open sound system | | 1002 | OSS open sound system |
1002 | OSS open source software | | 1003 | OSS open source software |
1003 | OSVW operating system visible workarounds | | 1004 | OSVW operating system visible workarounds |
1004 | OTP one time password | | 1005 | OTP one time password |
1005 | OTP open telecom platform | | 1006 | OTP open telecom platform |
1006 | OU organizational unit | | 1007 | OU organizational unit |
1007 | OUI organizationally unique identifier | | 1008 | OUI organizationally unique identifier |
1008 | OWL Web Ontology Language | | 1009 | OWL Web Ontology Language |
1009 | P2P PCI to PCI | | 1010 | P2P PCI to PCI |
1010 | P2P peer to peer | | 1011 | P2P peer to peer |
1011 | PA phase accumulator | | 1012 | PA phase accumulator |
1012 | PA physical address | | 1013 | PA physical address |
1013 | PAAS platform as a service | | 1014 | PAAS platform as a service |
1014 | PAC phase-amplitude converter | | 1015 | PAC phase-amplitude converter |
1015 | PAE physical address extension | | 1016 | PAE physical address extension |
1016 | PAL phase alternating line | | 1017 | PAL phase alternating line |
1017 | PAL programmable array logic | | 1018 | PAL programmable array logic |
1018 | PAM pluggable authentication modules | | 1019 | PAM pluggable authentication modules |
1019 | PAM pulse amplitude modulation | | 1020 | PAM pulse amplitude modulation |
1020 | PAP password authentication protocol | | 1021 | PAP password authentication protocol |
1021 | PAT page attribute table | | 1022 | PAT page attribute table |
1022 | PAT port address translation | | 1023 | PAT port address translation |
1023 | PATA parallel ATA | | 1024 | PATA parallel ATA |
1024 | PAX portable archive exchange | | 1025 | PAX portable archive exchange |
1025 | PBE pending break encoding | | 1026 | PBE pending break encoding |
1026 | PBE programming by example | | 1027 | PBE programming by example |
1027 | PC personal computer | | 1028 | PC personal computer |
1028 | PC program counter | | 1029 | PC program counter |
1029 | PCB printed circuit board | | 1030 | PCB printed circuit board |
1030 | PCB process control block | | 1031 | PCB process control block |
1031 | PCB Protocol Control Block | | 1032 | PCB Protocol Control Block |
1032 | PCC Portable C Compiler | | 1033 | PCC Portable C Compiler |
1033 | PCD page-level cache disable | | 1034 | PCD page-level cache disable |
1034 | PCE path computation element | | 1035 | PCE path computation element |
1035 | PCE performance-monitor counter enable | | 1036 | PCE performance-monitor counter enable |
1036 | PCEP Path Computation Element Protocol | | 1037 | PCEP Path Computation Element Protocol |
1037 | PCH platform controller hub | | 1038 | PCH platform controller hub |
1038 | PCI peripheral component interconnect | | 1039 | PCI peripheral component interconnect |
1039 | PCM phase change memory | | 1040 | PCM phase change memory |
1040 | PCM pulse code modulation | | 1041 | PCM pulse code modulation |
1041 | PCMCIA Personal Computer Memory Card International Association | | 1042 | PCMCIA Personal Computer Memory Card International Association |
1042 | PCP Port Control Protocol | | 1043 | PCP Port Control Protocol |
1043 | PD public domain | | 1044 | PD public domain |
1044 | PDA personal digital assistant | | 1045 | PDA personal digital assistant |
1045 | PDA pushdown automaton | | 1046 | PDA pushdown automaton |
1046 | PDAG propositional directed acyclic graph | | 1047 | PDAG propositional directed acyclic graph |
1047 | PDB power distribution board | | 1048 | PDB power distribution board |
1048 | PDF portable document format | | 1049 | PDF portable document format |
1049 | PDM pulse-duration modulation | | 1050 | PDM pulse-duration modulation |
1050 | PDN pull-down network | | 1051 | PDN pull-down network |
1051 | PDP page {descriptor,directory} page | | 1052 | PDP page {descriptor,directory} page |
1052 | PDS product data sheet | | 1053 | PDS product data sheet |
1053 | PDU protocol data unit | | 1054 | PDU protocol data unit |
1054 | PE protection enable | | 1055 | PE protection enable |
1055 | PEAP Protected EAP | | 1056 | PEAP Protected EAP |
1056 | PECI platform environment control interface | | 1057 | PECI platform environment control interface |
1057 | PEP protocol extension protocol | | 1058 | PEP protocol extension protocol |
1058 | PER packed encoding rules | | 1059 | PER packed encoding rules |
1059 | PFS perfect forward secrecy | | 1060 | PFS perfect forward secrecy |
1060 | PGAS partitioned global address space | | 1061 | PGAS partitioned global address space |
1061 | PGE page global enable | | 1062 | PGE page global enable |
1062 | PGP Pretty Good Privacy | | 1063 | PGP Pretty Good Privacy |
1063 | PHB PCI host bridge | | 1064 | PHB PCI host bridge |
1064 | PHP PHP: Hypertext Preprocessor | | 1065 | PHP PHP: Hypertext Preprocessor |
1065 | PIC performance instrumentation counters | | 1066 | PIC performance instrumentation counters |
1066 | PIC position independent code | | 1067 | PIC position independent code |
1067 | PIC programmable interrupt controller | | 1068 | PIC programmable interrupt controller |
1068 | PICNIC problem in chair, not in computer | | 1069 | PICNIC problem in chair, not in computer |
1069 | PID process id | | 1070 | PID process id |
1070 | PIE position independent executable | | 1071 | PIE position independent executable |
1071 | PIL Priority Interrupt Level | | 1072 | PIL Priority Interrupt Level |
1072 | PIM personal information manager | | 1073 | PIM personal information manager |
1073 | PIM platform-independent model | | 1074 | PIM platform-independent model |
1074 | PIO programmed input/output | | 1075 | PIO programmed input/output |
1075 | PIPT physically indexed, physically tagged | | 1076 | PIPT physically indexed, physically tagged |
1076 | PIQ prefetch input queue | | 1077 | PIQ prefetch input queue |
1077 | PIT programmable interrupt timer | | 1078 | PIT programmable interrupt timer |
1078 | PIV personal identity verification | | 1079 | PIV personal identity verification |
1079 | PIX Private Internet eXchange | | 1080 | PIX Private Internet eXchange |
1080 | PKCS public-key cryptography standards | | 1081 | PKCS public-key cryptography standards |
1081 | PKI public key infrastructure | | 1082 | PKI public key infrastructure |
1082 | PLC programmable logic controller | | 1083 | PLC programmable logic controller |
1083 | PLD programmable logic device | | 1084 | PLD programmable logic device |
1084 | PLL phase locked loop | | 1085 | PLL phase locked loop |
1085 | PLRU pseudo LRU | | 1086 | PLRU pseudo LRU |
1086 | PLTM package level thermal management | | 1087 | PLTM package level thermal management |
1087 | PM power management | | 1088 | PM power management |
1088 | PM {phase,pulse} modulation | | 1089 | PM {phase,pulse} modulation |
1089 | PMC performance monitoring counters | | 1090 | PMC performance monitoring counters |
1090 | PME power management event | | 1091 | PME power management event |
1091 | PMEG page map entry group | | 1092 | PMEG page map entry group |
1092 | PMI performance monitoring infrastructure | | 1093 | PMI performance monitoring infrastructure |
1093 | PMI platform management interrupt | | 1094 | PMI platform management interrupt |
1094 | PMIO port-mapped input/output | | 1095 | PMIO port-mapped input/output |
1095 | PMT photo-multiplier tube | | 1096 | PMT photo-multiplier tube |
1096 | PMU performance monitoring units | | 1097 | PMU performance monitoring units |
1097 | PMU power management unit | | 1098 | PMU power management unit |
1098 | PNG portable network graphics | | 1099 | PNG portable network graphics |
1099 | PNP plug and play | | 1100 | PNP plug and play |
1100 | POE power over ethernet | | 1101 | POE power over ethernet |
1101 | POF probability of failure | | 1102 | POF probability of failure |
1102 | POP Post Office Protocol | | 1103 | POP Post Office Protocol |
1103 | POP power on password | | 1104 | POP power on password |
1104 | POSIX Portable Operating System Interface [for Unix] | | 1105 | POSIX Portable Operating System Interface [for Unix] |
1105 | POST power on self test | | 1106 | POST power on self test |
1106 | POTS plain old telephone system | | 1107 | POTS plain old telephone system |
1107 | POWER Performance Optimization With Enhanced RISC | | 1108 | POWER Performance Optimization With Enhanced RISC |
1108 | POWERPC Performance Optimization With Enhanced RISC - Performance Computing | | 1109 | POWERPC Performance Optimization With Enhanced RISC - Performance Computing |
1109 | PP pair programming | | 1110 | PP pair programming |
1110 | PPB PCI [to] PCI bridge | | 1111 | PPB PCI [to] PCI bridge |
1111 | PPC PowerPC | | 1112 | PPC PowerPC |
1112 | PPC Power Performance Computing | | 1113 | PPC Power Performance Computing |
1113 | PPI pixels per inch | | 1114 | PPI pixels per inch |
1114 | PPM pages per minute | | 1115 | PPM pages per minute |
1115 | PPP Point-to-Point Protocol | | 1116 | PPP Point-to-Point Protocol |
1116 | PPPOA Point-to-Point Protocol over ATM | | 1117 | PPPOA Point-to-Point Protocol over ATM |
1117 | PPPOE Point-to-Point Protocol over Ethernet | | 1118 | PPPOE Point-to-Point Protocol over Ethernet |
1118 | PPU physics processing unit | | 1119 | PPU physics processing unit |
1119 | PRAM Parameter RAM | | 1120 | PRAM Parameter RAM |
1120 | PRBS pseudorandom bit sequence | | 1121 | PRBS pseudorandom bit sequence |
1121 | PRG pseudorandom generator | | 1122 | PRG pseudorandom generator |
1122 | PRF pseudorandom function [family] | | 1123 | PRF pseudorandom function [family] |
1123 | PRML partial response, maximum likelihood | | 1124 | PRML partial response, maximum likelihood |
1124 | PRN pseudorandom {noise,number} | | 1125 | PRN pseudorandom {noise,number} |
1125 | PRNG pseudorandom number generator | | 1126 | PRNG pseudorandom number generator |
1126 | PROM programmable read only memory | | 1127 | PROM programmable read only memory |
1127 | PRP pseudorandom permutation | | 1128 | PRP pseudorandom permutation |
1128 | PRU Programmable Realtime Unit | | 1129 | PRU Programmable Realtime Unit |
1129 | PS PostScript | | 1130 | PS PostScript |
1130 | PSD power spectral density | | 1131 | PSD power spectral density |
1131 | PSE page size extensions | | 1132 | PSE page size extensions |
1132 | PSK phase shift keying | | 1133 | PSK phase shift keying |
1133 | PSK pre-shared key | | 1134 | PSK pre-shared key |
1134 | PSTN public switched telephone network | | 1135 | PSTN public switched telephone network |
1135 | PSW program status word | | 1136 | PSW program status word |
1136 | PTE page table entry | | 1137 | PTE page table entry |
1137 | PTLA pseudo top level aggregator | | 1138 | PTLA pseudo top level aggregator |
1138 | PTP page table page | | 1139 | PTP page table page |
1139 | PTV perceived target value | | 1140 | PTV perceived target value |
1140 | PU processing unit | | 1141 | PU processing unit |
1141 | PUC personal unblocking code | | 1142 | PUC personal unblocking code |
1142 | PUN physical unit number | | 1143 | PUN physical unit number |
1143 | PV physical volume | | 1144 | PV physical volume |
1144 | PVG physical volume group | | 1145 | PVG physical volume group |
1145 | PVI protected-mode virtual interrupt | | 1146 | PVI protected-mode virtual interrupt |
1146 | PVST Per-VLAN Spanning Tree | | 1147 | PVST Per-VLAN Spanning Tree |
1147 | PWM pulse width modulation | | 1148 | PWM pulse width modulation |
1148 | PXE preboot execution environment | | 1149 | PXE preboot execution environment |
1149 | QA quality assurance | | 1150 | QA quality assurance |
1150 | QAM quadrature amplitude modulation | | 1151 | QAM quadrature amplitude modulation |
1151 | QAM quality assurance management | | 1152 | QAM quality assurance management |
1152 | QBE query by example | | 1153 | QBE query by example |
1153 | QC quality control | | 1154 | QC quality control |
1154 | QDI quasi delay insensitive | | 1155 | QDI quasi delay insensitive |
1155 | QCIF quarter common intermediate format | | 1156 | QCIF quarter common intermediate format |
1156 | QOS quality of service | | 1157 | QOS quality of service |
1157 | QVL qualified vendor list | | 1158 | QVL qualified vendor list |
1158 | R/O read only | | 1159 | R/O read only |
1159 | R/W read/write | | 1160 | R/W read/write |
1160 | RA receiver address | | 1161 | RA receiver address |
1161 | RA remote assistance | | 1162 | RA remote assistance |
1162 | RA resource affinity | | 1163 | RA resource affinity |
1163 | RA router advertisement | | 1164 | RA router advertisement |
1164 | RAD rapid application development | | 1165 | RAD rapid application development |
1165 | RAID redundant array of {independent,inexpensive} disks | | 1166 | RAID redundant array of {independent,inexpensive} disks |
1166 | RAM random access memory | | 1167 | RAM random access memory |
1167 | RAS reliability, availability and serviceability | | 1168 | RAS reliability, availability and serviceability |
1168 | RAS remote access service | | 1169 | RAS remote access service |
1169 | RAS restartable atomic sequence | | 1170 | RAS restartable atomic sequence |
1170 | RAS row address strobe | | 1171 | RAS row address strobe |
1171 | RBF radial basis function | | 1172 | RBF radial basis function |
1172 | RBT red-black tree | | 1173 | RBT red-black tree |
1173 | RC release candidate | | 1174 | RC release candidate |
1174 | RC remote control | | 1175 | RC remote control |
1175 | RC run commands | | 1176 | RC run commands |
1176 | RCS Revision Control System | | 1177 | RCS Revision Control System |
1177 | RCS revision control system | | 1178 | RCS revision control system |
1178 | RCU read, copy, update | | 1179 | RCU read, copy, update |
1179 | RDB Rigid Disk Block | | 1180 | RDB Rigid Disk Block |
1180 | RDBMS relational database management system | | 1181 | RDBMS relational database management system |
1181 | RDF Resource Description Framework | | 1182 | RDF Resource Description Framework |
1182 | RDM relational data model | | 1183 | RDM relational data model |
1183 | RDMA remote direct memory access | | 1184 | RDMA remote direct memory access |
1184 | RDRAM Rambus DRAM | | 1185 | RDRAM Rambus DRAM |
1185 | RE regular expression | | 1186 | RE regular expression |
1186 | REST representational state transfer | | 1187 | REST representational state transfer |
1187 | RF radio frequency | | 1188 | RF radio frequency |
1188 | RFI radio frequency interference | | 1189 | RFI radio frequency interference |
1189 | RFO request for ownership | | 1190 | RFO request for ownership |
1190 | RGB red green blue | | 1191 | RGB red green blue |
1191 | RGBA red green blue alpha | | 1192 | RGBA red green blue alpha |
1192 | RGMII reduced gigabit media independent interface | | 1193 | RGMII reduced gigabit media independent interface |
1193 | RH read hit | | 1194 | RH read hit |
1194 | RHEL Red Hat Enterprise Linux | | 1195 | RHEL Red Hat Enterprise Linux |
1195 | RHL Red Hat Linux | | 1196 | RHL Red Hat Linux |
1196 | RIB routing information base | | 1197 | RIB routing information base |
1197 | RIFF Resource Interchange File Format | | 1198 | RIFF Resource Interchange File Format |
1198 | RIP Raster Image Processor | | 1199 | RIP Raster Image Processor |
1199 | RIP Routing Information Protocol | | 1200 | RIP Routing Information Protocol |
1200 | RIR regional Internet registry | | 1201 | RIR regional Internet registry |
1201 | RISC reduced instruction set {computer,computing} | | 1202 | RISC reduced instruction set {computer,computing} |
1202 | RKM ROM Kernel Manual | | 1203 | RKM ROM Kernel Manual |
1203 | RLE run length encoding | | 1204 | RLE run length encoding |
1204 | RLL run length limited | | 1205 | RLL run length limited |
1205 | RLO right-to-left override | | 1206 | RLO right-to-left override |
1206 | RM read miss | | 1207 | RM read miss |
1207 | RMCP Remote Management Control Protocol | | 1208 | RMCP Remote Management Control Protocol |
1208 | RMI remote method invocation | | 1209 | RMI remote method invocation |
1209 | RMO Relaxed Memory Ordering | | 1210 | RMO Relaxed Memory Ordering |
1210 | RMPM rapid memory power management | | 1211 | RMPM rapid memory power management |
1211 | RMS root mean square | | 1212 | RMS root mean square |
1212 | RMW read, modify, write | | 1213 | RMW read, modify, write |
1213 | RNG random number generator | | 1214 | RNG random number generator |
1214 | ROM read only memory | | 1215 | ROM read only memory |
1215 | RPC remote procedure call | | 1216 | RPC remote procedure call |
1216 | RPKI resource PKI | | 1217 | RPKI resource PKI |
1217 | RPL requested privilege level | | 1218 | RPL requested privilege level |
1218 | RPM revolutions per minute | | 1219 | RPM revolutions per minute |
1219 | RPN reverse Polish notation | | 1220 | RPN reverse Polish notation |
1220 | RPVST Rapid Per-VLAN Spanning Tree | | 1221 | RPVST Rapid Per-VLAN Spanning Tree |
1221 | RR random replacement | | 1222 | RR random replacement |
1222 | RR round robin | | 1223 | RR round robin |
1223 | RS relay station | | 1224 | RS relay station |
1224 | RSA Rivest, Shamir, [and] Adleman | | 1225 | RSA Rivest, Shamir, [and] Adleman |
1225 | RSIP Realm Specific IP | | 1226 | RSIP Realm Specific IP |
1226 | RSN Robust Secure Network | | 1227 | RSN Robust Secure Network |
1227 | RSS really simple syndication | | 1228 | RSS really simple syndication |
1228 | RSS residual sum of squares | | 1229 | RSS residual sum of squares |
1229 | RSTP Rapid Spanning Tree Protocol | | 1230 | RSTP Rapid Spanning Tree Protocol |
1230 | RT real time | | 1231 | RT real time |
1231 | RTC real time clock | | 1232 | RTC real time clock |
1232 | RTC real time computing | | 1233 | RTC real time computing |
1233 | RTF rich text format | | 1234 | RTF rich text format |
1234 | RTL register transfer language | | 1235 | RTL register transfer language |
1235 | RTL resistor-transistor logic | | 1236 | RTL resistor-transistor logic |
1236 | RTL right to left | | 1237 | RTL right to left |
1237 | RTOS real time operating system | | 1238 | RTOS real time operating system |
1238 | RTS request to send | | 1239 | RTS request to send |
1239 | RTT round trip time | | 1240 | RTT round trip time |
1240 | RUP rational unified process | | 1241 | RUP rational unified process |
1241 | RW Rabin-Williams | | 1242 | RW Rabin-Williams |
1242 | RWM read-write memory | | 1243 | RWM read-write memory |
1243 | RX {receive,receiver} | | 1244 | RX {receive,receiver} |
1244 | S/PDIF Sony/Philips Digital Interface Format | | 1245 | S/PDIF Sony/Philips Digital Interface Format |
1245 | SA security advisory | | 1246 | SA security advisory |
1246 | SA security association | | 1247 | SA security association |
1247 | SA source address | | 1248 | SA source address |
1248 | SA structured analysis | | 1249 | SA structured analysis |
1249 | SAAS software as a service | | 1250 | SAAS software as a service |
1250 | SACD super audio compact disc | | 1251 | SACD super audio compact disc |
1251 | SAD security association database | | 1252 | SAD security association database |
1252 | SAL {service,system} abstraction layer | | 1253 | SAL {service,system} abstraction layer |
1253 | SAM serial access memory | | 1254 | SAM serial access memory |
1254 | SAM sum addressed memory | | 1255 | SAM sum addressed memory |
1255 | SAML security assertion markup language | | 1256 | SAML security assertion markup language |
1256 | SAN storage area network | | 1257 | SAN storage area network |
1257 | SAP service access point | | 1258 | SAP service access point |
1258 | SAPIC streamlined advanced programmable interrupt controller | | 1259 | SAPIC streamlined advanced programmable interrupt controller |
1259 | SASD structured analysis, structured design | | 1260 | SASD structured analysis, structured design |
1260 | SASI Shugart Associates System Interface | | 1261 | SASI Shugart Associates System Interface |
1261 | SASL simple authentication [and] security layer | | 1262 | SASL simple authentication [and] security layer |
1262 | SATA serial advanced technology attachment | | 1263 | SATA serial advanced technology attachment |
1263 | SAX simple API for XML | | 1264 | SAX simple API for XML |
1264 | SB sound blaster | | 1265 | SB sound blaster |
1265 | SBU standard build unit | | 1266 | SBU standard build unit |
1266 | SC store conditional | | 1267 | SC store conditional |
1267 | SCA source code analyzer | | 1268 | SCA source code analyzer |
1268 | SCADA supervisory control and data acquisition | | 1269 | SCADA supervisory control and data acquisition |
1269 | SCC single chip cloud | | 1270 | SCC single chip cloud |
1270 | SCC source code control | | 1271 | SCC source code control |
1271 | SCCS Source Code Control System | | 1272 | SCCS Source Code Control System |
1272 | SCI scalable coherent interface | | 1273 | SCI scalable coherent interface |
1273 | SCI system control interrupt | | 1274 | SCI system control interrupt |
1274 | SCM software configuration management | | 1275 | SCM software configuration management |
1275 | SCM source code management | | 1276 | SCM source code management |
1276 | SCM storage-class memory | | 1277 | SCM storage-class memory |
1277 | SCO synchronous connection orientated | | 1278 | SCO synchronous connection orientated |
1278 | SCP secure copy | | 1279 | SCP secure copy |
1279 | SCSI Small Computer System Interface | | 1280 | SCSI Small Computer System Interface |
1280 | SCTP Stream Control Transmission Protocol | | 1281 | SCTP Stream Control Transmission Protocol |
1281 | SDEI software delegated exception interface | | 1282 | SDEI software delegated exception interface |
1282 | SDI symbol deinterleave | | 1283 | SDI symbol deinterleave |
1283 | SDK software development kit | | 1284 | SDK software development kit |
1284 | SDL Simple Declarative Language | | 1285 | SDL Simple Declarative Language |
1285 | SDL Simple Direct-media Layer | | 1286 | SDL Simple Direct-media Layer |
1286 | SDLC {software,system,systems} development life cycle | | 1287 | SDLC {software,system,systems} development life cycle |
1287 | SDN software defined networking | | 1288 | SDN software defined networking |
1288 | SDP Session Description Protocol | | 1289 | SDP Session Description Protocol |
1289 | SDRAM synchronous dynamic random access memory | | 1290 | SDRAM synchronous dynamic random access memory |
1290 | SDS software defined storage | | 1291 | SDS software defined storage |
1291 | SDT syntax-directed translation | | 1292 | SDT syntax-directed translation |
1292 | SEA synchronous external abort | | 1293 | SEA synchronous external abort |
1293 | SEGV segmentation violation | | 1294 | SEGV segmentation violation |
1294 | SEO search engine optimization | | 1295 | SEO search engine optimization |
1295 | SES SCSI enclosure services | | 1296 | SES SCSI enclosure services |
1296 | SFC sequential function chart | | 1297 | SFC sequential function chart |
1297 | SFI simple firmware interface | | 1298 | SFI simple firmware interface |
1298 | SFI software fault isolation | | 1299 | SFI software fault isolation |
1299 | SFTP SSH File Transfer Protocol | | 1300 | SFTP SSH File Transfer Protocol |
1300 | SFTP Serial File Transfer Protocol | | 1301 | SFTP Serial File Transfer Protocol |
1301 | SFTP Simple File Transfer Protocol | | 1302 | SFTP Simple File Transfer Protocol |
1302 | SGMII serial gigabit media independent interface | | 1303 | SGMII serial gigabit media independent interface |
1303 | SGRAM synchronous graphics random access memory | | 1304 | SGRAM synchronous graphics random access memory |
1304 | SHA secure hash algorithm | | 1305 | SHA secure hash algorithm |
1305 | SIDH supersingular isogeny Diffie-Hellman | | 1306 | SIDH supersingular isogeny Diffie-Hellman |
1306 | SIEM security information and event management | | 1307 | SIEM security information and event management |
1307 | SIF source input format | | 1308 | SIF source input format |
1308 | SIFS short inter-frame space | | 1309 | SIFS short inter-frame space |
1309 | SIM subscriber identity module | | 1310 | SIM subscriber identity module |
1310 | SIMD single instruction, multiple data | | 1311 | SIMD single instruction, multiple data |
1311 | SIMM single inline memory module | | 1312 | SIMM single inline memory module |
1312 | SIP Session Initiation Protocol | | 1313 | SIP Session Initiation Protocol |
1313 | SIR signal-to-interference ratio | | 1314 | SIR signal-to-interference ratio |
1314 | SIR slow infrared | | 1315 | SIR slow infrared |
1315 | SISD single instruction, single data | | 1316 | SISD single instruction, single data |
1316 | SLAAC stateless address auto-configuration | | 1317 | SLAAC stateless address auto-configuration |
1317 | SLC single-level cell | | 1318 | SLC single-level cell |
1318 | SLDRAM synchronous-link dynamic random access memory | | 1319 | SLDRAM synchronous-link dynamic random access memory |
1319 | SLED single large expensive disk | | 1320 | SLED single large expensive disk |
1320 | SLI scalable link interface | | 1321 | SLI scalable link interface |
1321 | SLI scan-line interleave | | 1322 | SLI scan-line interleave |
1322 | SLOC source lines of code | | 1323 | SLOC source lines of code |
1323 | SLRU segmented LRU | | 1324 | SLRU segmented LRU |
1324 | SMA smart memory access | | 1325 | SMA smart memory access |
1325 | SMAF system management action field | | 1326 | SMAF system management action field |
1326 | SMAP Simple Mail Access Protocol | | 1327 | SMAP Simple Mail Access Protocol |
1327 | SMAP supervisor mode access prevention | | 1328 | SMAP supervisor mode access prevention |
1328 | SMART self-monitoring analysis and reporting technology | | 1329 | SMART self-monitoring analysis and reporting technology |
1329 | SMB Server Message Block | | 1330 | SMB Server Message Block |
1330 | SMB system management bus | | 1331 | SMB system management bus |
1331 | SMBIOS System Management BIOS | | 1332 | SMBIOS System Management BIOS |
1332 | SMC system management controller | | 1333 | SMC system management controller |
1333 | SMD storage module device | | 1334 | SMD storage module device |
1334 | SMEP supervisor mode execution protection | | 1335 | SMEP supervisor mode execution protection |
1335 | SMF single mode fiber | | 1336 | SMF single mode fiber |
1336 | SMI structure of management information | | 1337 | SMI structure of management information |
1337 | SMI system management interrupt | | 1338 | SMI system management interrupt |
1338 | SMM system management mode | | 1339 | SMM system management mode |
1339 | SMP symmetric multiprocessing | | 1340 | SMP symmetric multiprocessing |
1340 | SMT simultaneous multithreading | | 1341 | SMT simultaneous multithreading |
1341 | SMTP Simple Mail Transfer Protocol | | 1342 | SMTP Simple Mail Transfer Protocol |
1342 | SMU system management unit | | 1343 | SMU system management unit |
1343 | SNAP Sub-Network Access Protocol | | 1344 | SNAP Sub-Network Access Protocol |
1344 | SNIA Storage Networking Industry Association | | 1345 | SNIA Storage Networking Industry Association |
1345 | SNMP Simple Network Management Protocol | | 1346 | SNMP Simple Network Management Protocol |
1346 | SNR signal-to-noise ratio | | 1347 | SNR signal-to-noise ratio |
1347 | SOA service oriented architecture | | 1348 | SOA service oriented architecture |
1348 | SOAP Simple Object Access Protocol | | 1349 | SOAP Simple Object Access Protocol |
1349 | SOC system on chip | | 1350 | SOC system on chip |
1350 | SOF start of frame | | 1351 | SOF start of frame |
1351 | SOH start of header | | 1352 | SOH start of header |
1352 | SOHO small office/home office | | 1353 | SOHO small office/home office |
1353 | SOL serial over LAN | | 1354 | SOL serial over LAN |
1354 | SP service pack | | 1355 | SP service pack |
1355 | SP stack pointer | | 1356 | SP stack pointer |
1356 | SPA storage pool allocator | | 1357 | SPA storage pool allocator |
1357 | SPARC scalable processor architecture | | 1358 | SPARC scalable processor architecture |
1358 | SPARQL SPARQL Protocol and RDF Query Language | | 1359 | SPARQL SPARQL Protocol and RDF Query Language |
1359 | SPD security policy database | | 1360 | SPD security policy database |
1360 | SPD serial presence detect | | 1361 | SPD serial presence detect |
1361 | SPDIF Sony/Philips digital interface format | | 1362 | SPDIF Sony/Philips digital interface format |
1362 | SPI serial peripheral interface | | 1363 | SPI serial peripheral interface |
1363 | SPI stateful packet inspection | | 1364 | SPI stateful packet inspection |
1364 | SPL system interrupt priority level | | 1365 | SPL system interrupt priority level |
1365 | SPL spinlock | | 1366 | SPL spinlock |
1366 | SPMD single process, multiple data | | 1367 | SPMD single process, multiple data |
1367 | SPR special purpose register | | 1368 | SPR special purpose register |
1368 | SPT sectors per track | | 1369 | SPT sectors per track |
1369 | SQA software quality assurance | | 1370 | SQA software quality assurance |
1370 | SQCIF sub quarter common intermediate format | | 1371 | SQCIF sub quarter common intermediate format |
1371 | SQL Structured Query Language | | 1372 | SQL Structured Query Language |
1372 | SRAM static random access memory | | 1373 | SRAM static random access memory |
1373 | SRC sample rate conversion | | 1374 | SRC sample rate conversion |
1374 | SRP SCSI RDMA protocol | | 1375 | SRP SCSI RDMA protocol |
1375 | SS self-snoop | | 1376 | SS self-snoop |
1376 | SS stack segment | | 1377 | SS stack segment |
1377 | SSA static single assignment | | 1378 | SSA static single assignment |
1378 | SSAP source service access point | | 1379 | SSAP source service access point |
1379 | SSB single-sideband modulation | | 1380 | SSB single-sideband modulation |
1380 | SSD solid state drive | | 1381 | SSD solid state drive |
1381 | SSE streaming SIMD extensions | | 1382 | SSE streaming SIMD extensions |
1382 | SSFDC solid state floppy disc card | | 1383 | SSFDC solid state floppy disc card |
1383 | SSH secure shell | | 1384 | SSH secure shell |
1384 | SSI server side includes | | 1385 | SSI server side includes |
1385 | SSID service set identifier | | 1386 | SSID service set identifier |
1386 | SSL secure sockets layer | | 1387 | SSL secure sockets layer |
1387 | SSP stack smashing protection | | 1388 | SSP stack smashing protection |
1388 | STC software thermal control | | 1389 | STC software thermal control |
1389 | STD state transition diagram | | 1390 | STD state transition diagram |
1390 | STOMP Streaming Text Oriented Messaging Protocol | | 1391 | STOMP Streaming Text Oriented Messaging Protocol |
1391 | STP Spanning Tree Protocol | | 1392 | STP Spanning Tree Protocol |
1392 | STP shielded twisted pair | | 1393 | STP shielded twisted pair |
1393 | SUN Stanford University Network | | 1394 | SUN Stanford University Network |
1394 | SUS Single Unix Specification | | 1395 | SUS Single Unix Specification |
1395 | SUT system under test | | 1396 | SUT system under test |
1396 | SVG scalable vector graphics | | 1397 | SVG scalable vector graphics |
1397 | SVGA Super Video Graphics Array | | 1398 | SVGA Super Video Graphics Array |
1398 | SVI {switch,switched} virtual interface | | 1399 | SVI {switch,switched} virtual interface |
1399 | SVM secure virtual machine | | 1400 | SVM secure virtual machine |
1400 | SVM support vector machine | | 1401 | SVM support vector machine |
1401 | SVR System V Release | | 1402 | SVR System V Release |
1402 | SVS Separate Virtual Space | | 1403 | SVS Separate Virtual Space |
1403 | SWF Shockwave Flash | | 1404 | SWF Shockwave Flash |
1404 | SWIG simplified wrapper [and] interface generator | | 1405 | SWIG simplified wrapper [and] interface generator |
1405 | SYR symbol timing recovery | | 1406 | SYR symbol timing recovery |
1406 | TA test assertion | | 1407 | TA test assertion |
1407 | TA transmitter address | | 1408 | TA transmitter address |
1408 | TAI international atomic time | | 1409 | TAI international atomic time |
1409 | TAO track at once | | 1410 | TAO track at once |
1410 | TAOCP The Art of Computer Programming | | 1411 | TAOCP The Art of Computer Programming |
1411 | TAP Test Anything Protocol | | 1412 | TAP Test Anything Protocol |
1412 | TAS test and set | | 1413 | TAS test and set |
1413 | TB terabyte | | 1414 | TB terabyte |
1414 | TCB trace capture buffer | | 1415 | TCB trace capture buffer |
1415 | TCB trusted computing base | | 1416 | TCB trusted computing base |
1416 | TCC thermal control circuit | | 1417 | TCC thermal control circuit |
1417 | TCL tool command language | | 1418 | TCL tool command language |
1418 | TCP Transmission Control Protocol | | 1419 | TCP Transmission Control Protocol |
1419 | TCQ tagged command queueing | | 1420 | TCQ tagged command queueing |
1420 | TDC time [to] digital converter | | 1421 | TDC time [to] digital converter |
1421 | TDD test-driven development | | 1422 | TDD test-driven development |
1422 | TDD time division duplex | | 1423 | TDD time division duplex |
1423 | TDM time division multiplexing | | 1424 | TDM time division multiplexing |
1424 | TDMA time division multiple access | | 1425 | TDMA time division multiple access |
1425 | TDOA time difference of arrival | | 1426 | TDOA time difference of arrival |
1426 | TDP thermal design {point,power} | | 1427 | TDP thermal design {point,power} |
1427 | TECO Text Editor and Corrector | | 1428 | TECO Text Editor and Corrector |
1428 | TFT thin film transistor | | 1429 | TFT thin film transistor |
1429 | TFTP Trivial File Transfer Protocol | | 1430 | TFTP Trivial File Transfer Protocol |
1430 | TIAS try it and see | | 1431 | TIAS try it and see |
1431 | TIFF tagged image file format | | 1432 | TIFF tagged image file format |
1432 | TITS try it to see | | 1433 | TITS try it to see |
1433 | TK temporal key | | 1434 | TK temporal key |
1434 | TKIP Temporal Key Integrity Protocol | | 1435 | TKIP Temporal Key Integrity Protocol |
1435 | TLA top level aggregator | | 1436 | TLA top level aggregator |
1436 | TLB translation lookaside buffer | | 1437 | TLB translation lookaside buffer |
1437 | TLD top level domain | | 1438 | TLD top level domain |
1438 | TLS thread local storage | | 1439 | TLS thread local storage |
1439 | TLS transport layer security | | 1440 | TLS transport layer security |
1440 | TM Turing machine | | 1441 | TM Turing machine |
1441 | TM thermal monitor | | 1442 | TM thermal monitor |
1442 | TMDS transition minimized differential signaling | | 1443 | TMDS transition minimized differential signaling |
1443 | TMO timeout | | 1444 | TMO timeout |
1444 | TOD time of day | | 1445 | TOD time of day |
1445 | TOS terms of service | | 1446 | TOS terms of service |
1446 | TOS trusted operating system | | 1447 | TOS trusted operating system |
1447 | TOS type of service | | 1448 | TOS type of service |
1448 | TP transaction processing | | 1449 | TP transaction processing |
1449 | TPI tracks per inch | | 1450 | TPI tracks per inch |
1450 | TPM transactions per minute | | 1451 | TPM transactions per minute |
1451 | TPM trusted platform module | | 1452 | TPM trusted platform module |
1452 | TPR task priority register | | 1453 | TPR task priority register |
1453 | TPS transactions per second | | 1454 | TPS transactions per second |
1454 | TPS transmission parameters signaling | | 1455 | TPS transmission parameters signaling |
1455 | TR task register | | 1456 | TR task register |
1456 | TR token ring | | 1457 | TR token ring |
1457 | TRL timing recovery loop | | 1458 | TRL timing recovery loop |
1458 | TRNG true random number generator | | 1459 | TRNG true random number generator |
1459 | TS terminal services | | 1460 | TS terminal services |
1460 | TS time series | | 1461 | TS time series |
1461 | TS time stamp | | 1462 | TS time stamp |
1462 | TS transport stream | | 1463 | TS transport stream |
1463 | TSAN Thread Sanitizer | | 1464 | TSAN Thread Sanitizer |
1464 | TSC time stamp counter | | 1465 | TSC time stamp counter |
1465 | TSD time stamp disable | | 1466 | TSD time stamp disable |
1466 | TSDB time series database | | 1467 | TSDB time series database |
1467 | TSL test, set, lock | | 1468 | TSL test, set, lock |
1468 | TSO time sharing option | | 1469 | TSO time sharing option |
1469 | TSO Total Store Ordering | | 1470 | TSO Total Store Ordering |
1470 | TSP Time-Stamp Protocol | | 1471 | TSP Time-Stamp Protocol |
1471 | TSP Tunnel Setup Protocol | | 1472 | TSP Tunnel Setup Protocol |
1472 | TSP time synchronization protocol | | 1473 | TSP time synchronization protocol |
1473 | TSP traveling salesman problem | | 1474 | TSP traveling salesman problem |
1474 | TSS task state segment | | 1475 | TSS task state segment |
1475 | TTL time to live | | 1476 | TTL time to live |
1476 | TTL transistor-transistor logic | | 1477 | TTL transistor-transistor logic |
1477 | TTM translation table maps | | 1478 | TTM translation table maps |
1478 | TTY teletype | | 1479 | TTY teletype |
1479 | TUI {terminal,text-based,textual} user interface | | 1480 | TUI {terminal,text-based,textual} user interface |
1480 | TX {transmit out,transmitter} | | 1481 | TX {transmit out,transmitter} |
1481 | TXG transaction group | | 1482 | TXG transaction group |
1482 | TZ time zone | | 1483 | TZ time zone |
1483 | UAC user {access,account} control | | 1484 | UAC user {access,account} control |
1484 | UAF use-after-free | | 1485 | UAF use-after-free |
1485 | UART universal asynchronous receiver/transmitter | | 1486 | UART universal asynchronous receiver/transmitter |
1486 | UAT user acceptance testing | | 1487 | UAT user acceptance testing |
1487 | UB undefined behavior | | 1488 | UB undefined behavior |
1488 | UBSAN Undefined Behavior Sanitizer | | 1489 | UBSAN Undefined Behavior Sanitizer |
1489 | UC uncacheable | | 1490 | UC uncacheable |
1490 | UCS uniform-cost search | | 1491 | UCS uniform-cost search |
1491 | UDMA ultra DMA | | 1492 | UDMA ultra DMA |
1492 | UDO ultra density optical | | 1493 | UDO ultra density optical |
1493 | UDP User Datagram Protocol | | 1494 | UDP User Datagram Protocol |
1494 | UEFI unified extensible firmware interface | | 1495 | UEFI unified extensible firmware interface |
1495 | UFS Unix File System | | 1496 | UFS Unix File System |
1496 | UGA universal graphics adapter | | 1497 | UGA universal graphics adapter |
1497 | UI unit interval | | 1498 | UI unit interval |
1498 | UI user interface | | 1499 | UI user interface |
1499 | UICC universal integrated circuit card | | 1500 | UICC universal integrated circuit card |
1500 | UID unique identifier | | 1501 | UID unique identifier |
1501 | UID user identifier | | 1502 | UID user identifier |
1502 | UL upload | | 1503 | UL upload |
1503 | UMA uniform memory access | | 1504 | UMA uniform memory access |
1504 | UMA upper memory area | | 1505 | UMA upper memory area |
1505 | UMB upper memory block | | 1506 | UMB upper memory block |
1506 | UML unified modeling language | | 1507 | UML unified modeling language |
1507 | UMPC ultra-mobile PC | | 1508 | UMPC ultra-mobile PC |
1508 | UPNP universal plug and play | | 1509 | UPNP universal plug and play |
1509 | UPS uninterruptible power supply | | 1510 | UPS uninterruptible power supply |
1510 | URI uniform resource identifier | | 1511 | URI uniform resource identifier |
1511 | URL uniform resource locator | | 1512 | URL uniform resource locator |
1512 | URN uniform resource name | | 1513 | URN uniform resource name |
1513 | USART universal synchronous/asynchronous receiver/transmitter | | 1514 | USART universal synchronous/asynchronous receiver/transmitter |
1514 | USB universal serial bus | | 1515 | USB universal serial bus |
1515 | USWC uncacheable speculative write combining | | 1516 | USWC uncacheable speculative write combining |
1516 | UT unit test | | 1517 | UT unit test |
1517 | UTC coordinated universal time | | 1518 | UTC coordinated universal time |
1518 | UTP unshielded twisted pair | | 1519 | UTP unshielded twisted pair |
1519 | UUCP Unix-to-Unix Copy Protocol | | 1520 | UUCP Unix-to-Unix Copy Protocol |
1520 | UUID universally unique identifier | | 1521 | UUID universally unique identifier |
1521 | UUOC useless use of cat | | 1522 | UUOC useless use of cat |
1522 | UUT unit under test | | 1523 | UUT unit under test |
1523 | UX user experience | | 1524 | UX user experience |
1524 | VA vertical alignment | | 1525 | VA vertical alignment |
1525 | VA virtual address | | 1526 | VA virtual address |
1526 | VA volt-ampere | | 1527 | VA volt-ampere |
1527 | VAX virtual address extension | | 1528 | VAX virtual address extension |
1528 | VB Visual Basic | | 1529 | VB Visual Basic |
1529 | VCA variable-gain amplifier | | 1530 | VCA variable-gain amplifier |
1530 | VCC common collector voltage | | 1531 | VCC common collector voltage |
1531 | VCF voltage-controlled filter | | 1532 | VCF voltage-controlled filter |
1532 | VCM virtual channel memory | | 1533 | VCM virtual channel memory |
1533 | VCO voltage-controlled oscillator | | 1534 | VCO voltage-controlled oscillator |
1534 | VCS version control system | | 1535 | VCS version control system |
1535 | VESA Video Electronics Standards Association | | 1536 | VESA Video Electronics Standards Association |
1536 | VFO variable-frequency oscillator | | 1537 | VFO variable-frequency oscillator |
1537 | VG volume group | | 1538 | VG volume group |
1538 | VGA Video Graphics Array | | 1539 | VGA Video Graphics Array |
1539 | VHDCI very high density cable interconnect | | 1540 | VHDCI very high density cable interconnect |
1540 | VID {VLAN,voltage level} identifier | | 1541 | VID {VLAN,voltage level} identifier |
1541 | VIM Vi IMproved | | 1542 | VIM Vi IMproved |
1542 | VIPT virtually indexed, physically tagged | | 1543 | VIPT virtually indexed, physically tagged |
1543 | VIVT virtually indexed, virtually tagged | | 1544 | VIVT virtually indexed, virtually tagged |
1544 | VLAN virtual local area network | | 1545 | VLAN virtual local area network |
1545 | VLIW very long instruction word | | 1546 | VLIW very long instruction word |
1546 | VLSI very large scale integration | | 1547 | VLSI very large scale integration |
1547 | VLSM variable length subnet mask | | 1548 | VLSM variable length subnet mask |
1548 | VM virtual {machine,memory} | | 1549 | VM virtual {machine,memory} |
1549 | VME virtual mode extension | | 1550 | VME virtual mode extension |
1550 | VMX vector multimedia extensions | | 1551 | VMX vector multimedia extensions |
1551 | VMX virtual machine extensions | | 1552 | VMX virtual machine extensions |
1552 | VOD video on demand | | 1553 | VOD video on demand |
1553 | VPN virtual private network | | 1554 | VPN virtual private network |
1554 | VR virtual reality | | 1555 | VR virtual reality |
1555 | VRAM video random access memory | | 1556 | VRAM video random access memory |
1556 | VRF virtual routing and forwarding | | 1557 | VRF virtual routing and forwarding |
1557 | VRM voltage regulator module | | 1558 | VRM voltage regulator module |
1558 | VRRP Virtual Router Redundancy Protocol | | 1559 | VRRP Virtual Router Redundancy Protocol |
1559 | VSTP VLAN Spanning Tree Protocol | | 1560 | VSTP VLAN Spanning Tree Protocol |
1560 | VTP VLAN Trunking Protocol | | 1561 | VTP VLAN Trunking Protocol |
1561 | W watt | | 1562 | W watt |
1562 | W3C World Wide Web Consortium | | 1563 | W3C World Wide Web Consortium |
1563 | WAMP Web Application Messaging Protocol | | 1564 | WAMP Web Application Messaging Protocol |
1564 | WAN wide area network | | 1565 | WAN wide area network |
1565 | WAP Wireless Application Protocol | | 1566 | WAP Wireless Application Protocol |
1566 | WAP wireless access point | | 1567 | WAP wireless access point |
1567 | WDM Windows Driver Model | | 1568 | WDM Windows Driver Model |
1568 | WDDM Windows Display Driver Model | | 1569 | WDDM Windows Display Driver Model |
1569 | WDT watchdog timer | | 1570 | WDT watchdog timer |
1570 | WEP Wired Equivalent Privacy | | 1571 | WEP Wired Equivalent Privacy |
1571 | WFI wait for interrupt | | 1572 | WFI wait for interrupt |
1572 | WFQ weighted fair queuing | | 1573 | WFQ weighted fair queuing |
1573 | WH write hit | | 1574 | WH write hit |
1574 | WIFI wireless fidelity | | 1575 | WIFI wireless fidelity |
1575 | WLAN wireless local area network | | 1576 | WLAN wireless local area network |
1576 | WM write miss | | 1577 | WM write miss |
1577 | WMI Windows Management Instrumentation | | 1578 | WMI Windows Management Instrumentation |
1578 | WOL Wake-on-LAN | | 1579 | WOL Wake-on-LAN |
1579 | WOM Wake-on-Modem | | 1580 | WOM Wake-on-Modem |
1580 | WOR Wake-on-Ring | | 1581 | WOR Wake-on-Ring |
1581 | WORM write once read many | | 1582 | WORM write once read many |
1582 | WP WordPerfect | | 1583 | WP WordPerfect |
1583 | WP word processor | | 1584 | WP word processor |
1584 | WP write protect | | 1585 | WP write protect |
1585 | WPA Wi-Fi Protected Access | | 1586 | WPA Wi-Fi Protected Access |
1586 | WPA2 Wi-Fi Protected Access II | | 1587 | WPA2 Wi-Fi Protected Access II |
1587 | WPS Wi-Fi Protected Setup | | 1588 | WPS Wi-Fi Protected Setup |
1588 | WRAM window random access memory | | 1589 | WRAM window random access memory |
1589 | WS web services | | 1590 | WS web services |
1590 | WTC write through caching | | 1591 | WTC write through caching |
1591 | WWAN wireless wide area network | | 1592 | WWAN wireless wide area network |
1592 | WWW world wide web | | 1593 | WWW world wide web |
1593 | WYSIAYG what you see is all you get | | 1594 | WYSIAYG what you see is all you get |
1594 | WYSIWYG what you see is what you get | | 1595 | WYSIWYG what you see is what you get |
1595 | XBAR crossbar | | 1596 | XBAR crossbar |
1596 | XDMCP X Display Manager control protocol | | 1597 | XDMCP X Display Manager control protocol |
1597 | XER XML encoding rules | | 1598 | XER XML encoding rules |
1598 | XGA Extended Graphics Array | | 1599 | XGA Extended Graphics Array |
1599 | XHR XMLHttpRequest | | 1600 | XHR XMLHttpRequest |
1600 | XIP execute in place | | 1601 | XIP execute in place |
1601 | XML Extensible Markup Language | | 1602 | XML Extensible Markup Language |
1602 | XOR exclusive or | | 1603 | XOR exclusive or |
1603 | XP extreme programming | | 1604 | XP extreme programming |
1604 | XSI X/Open System Interface | | 1605 | XSI X/Open System Interface |
1605 | XSL extensible stylesheet language | | 1606 | XSL extensible stylesheet language |
1606 | XSS cross site scripting | | 1607 | XSS cross site scripting |
1607 | XT extended technology | | 1608 | XT extended technology |
1608 | XUL XML user interface language | | 1609 | XUL XML user interface language |
1609 | YACC yet another compiler compiler | | 1610 | YACC yet another compiler compiler |
1610 | YAML YAML ain't markup language | | 1611 | YAML YAML ain't markup language |
1611 | YANG yet another next generation | | 1612 | YANG yet another next generation |
1612 | YAWPFAPR you are welcome; please file a problem report | | 1613 | YAWPFAPR you are welcome; please file a problem report |
1613 | YP Yellow Pages | | 1614 | YP Yellow Pages |
1614 | YTD year to date | | 1615 | YTD year to date |
1615 | ZAP ZFS attribute processor | | 1616 | ZAP ZFS attribute processor |
1616 | ZBR zone bit recording | | 1617 | ZBR zone bit recording |
1617 | ZFOD zero-filled on demand | | 1618 | ZFOD zero-filled on demand |
1618 | ZFS zettabyte file system | | 1619 | ZFS zettabyte file system |
1619 | ZIF zero insertion force | | 1620 | ZIF zero insertion force |
1620 | ZIFS zero insertion force socket | | 1621 | ZIFS zero insertion force socket |
1621 | ZIL ZFS intent log | | 1622 | ZIL ZFS intent log |
1622 | ZMA zone multicast address | | 1623 | ZMA zone multicast address |
1623 | ZPL ZFS Posix layer | | 1624 | ZPL ZFS Posix layer |
1624 | ZVOL ZFS Volume | | 1625 | ZVOL ZFS Volume |